TWI840559B - 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統 - Google Patents

用於判定局部圖案密度之帶電粒子束曝光量之方法及系統 Download PDF

Info

Publication number
TWI840559B
TWI840559B TW109116592A TW109116592A TWI840559B TW I840559 B TWI840559 B TW I840559B TW 109116592 A TW109116592 A TW 109116592A TW 109116592 A TW109116592 A TW 109116592A TW I840559 B TWI840559 B TW I840559B
Authority
TW
Taiwan
Prior art keywords
dose
pec
pattern
backscatter
maximum
Prior art date
Application number
TW109116592A
Other languages
English (en)
Other versions
TW202102933A (zh
Inventor
藤村明
哈洛德 R 札伯
納蓋許 席拉里
威廉 E 古斯里
萊恩 派曼
Original Assignee
美商D2S公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/422,269 external-priority patent/US10748744B1/en
Application filed by 美商D2S公司 filed Critical 美商D2S公司
Publication of TW202102933A publication Critical patent/TW202102933A/zh
Application granted granted Critical
Publication of TWI840559B publication Critical patent/TWI840559B/zh

Links

Abstract

揭示一種使用帶電粒子束系統在一表面上之一區域中曝光圖案之方法,該方法包括輸入該區域之一組原始曝光資訊,以及輸入一目標鄰近效應校正(PEC)後最大劑量。基於該組原始曝光資訊,針對該圖案之該區域計算一局部圖案密度。判定該區域之一PEC前最大劑量。利用該PEC前最大劑量修改該組原始曝光資訊。

Description

用於判定局部圖案密度之帶電粒子束曝光量之方法及系統 相關申請案
本申請案主張於2019年5月24日提交且標題為「用於判定局部圖案密度之帶電粒子束曝光量之方法及系統(METHOD AND SYSTEM FOR DETERMINING A CHARGED PARTICLE BEAM EXPOSURE FOR A LOCAL PATTERN DENSITY)」之美國非臨時專利申請案第16/422,269號之權益,本申請案出於所有目的以引用方式併入。
本發明係有關於用於判定局部圖案密度之帶電粒子束曝光量之方法及系統。
本揭示內容係關於微影術,並且更具體地係關於使用帶電粒子束微影術之對表面之設計和製造,該表面可為倍縮光罩(reticle)、晶圓或任何其他表面。
三種常見類型之帶電粒子束微影術係非成形之(高斯)束微影術、成形之帶電粒子束微影術和多束微影術。在所有類型之帶電粒子束微影術中,帶電粒子束皆向塗佈有抗蝕劑之表面發射能量,以曝光抗蝕劑。
成形之帶電粒子束微影術可為可變形狀束(VSB)或字符投影(CP),其中精確電子束之射擊(shot)被成形和操縱以曝光塗佈有抗蝕劑之表面(諸如晶圓之表面或倍縮光罩之表面)。在VSB中,此等形狀係簡單之形狀,通常限於:具有特定最小和最大大小之矩形,其邊平行於笛卡爾座標平面(即具有「曼哈 頓」取向)之軸;以及具有特定最小和最大大小之45度直角三角形(即其三個內角為45度、45度和90度之三角形)。在預定位置,一定劑量之電子以此等簡單形狀發射到抗蝕劑中。此類系統之總寫入時間會隨著射擊次數而增加。在CP中,系統中存在一個模板,該模板中具有各種孔或字符,該等孔或字符可為複雜形狀,諸如直線、任意角度之線性、圓形、近圓形、環形、近環形、橢圓形、近橢圓形、部分圓形、部分近圓形、部分環形、部分近環形、部分近橢圓形或任意曲線形狀,並且可為連接之複雜形狀組或者連接之複雜形狀組之多個不連貫組之群組。可將電子束發射通過模板上之字符,以高效地在倍縮光罩上產生更複雜之圖案。從理論上講,此系統可比VSB系統更快,因為此系統可使用每次耗時之射擊發射出更複雜之形狀。因此,使用VSB系統發射之E形圖案需要四次射擊,但CP系統使用一次射擊便可發射相同之E形圖案。
成形之帶電粒子束微影術可使用單個成形束,或者可使用同時曝光表面之複數個成形束,與單個成形束相比,複數個成形束產生更高之寫入速度。當複數個帶電粒子束同時曝光表面時,帶電粒子束微影術通常被稱為多束微影術。多束微影術可為多束成形或非成形之帶電粒子束微影術。
在微影術中,微影遮罩或倍縮光罩包括與要整合到基板上之電路組件相對應之幾何圖案。可利用電腦輔助設計(CAD)軟體或程式來生成用於製造倍縮光罩之圖案。在設計圖案時,CAD程式可遵循一組預定之設計規則以形成倍縮光罩。此等規則藉由處理、設計和最終用途限制來設定。最終用途限制之實例係以無法在所需供應電壓下充分運行之方式定義電晶體之幾何形狀。具體而言,設計規則可定義電路裝置或互連線之間的空間容限。設計規則例如用於確保電路裝置或線路不會以不期望之方式彼此相互作用。例如,使用該等設計規則,使得線路不會以可導致短路之方式彼此靠近。除其他以外,設計規則限制反映了能夠可靠製造之最小尺寸。當提到此等小尺寸時,通常會引入臨界 尺寸之概念。例如,此等被定義為特徵之重要寬度或區域,或兩個特徵之間的重要空間,或者重要空間區域,彼等尺寸需要精確控制。
在諸如積體電路之半導體裝置之生產或製造中,可使用光學微影術來製造半導體裝置。光學微影術係一種印刷過程,其中微影遮罩或光罩或倍縮光罩用於將圖案轉印至諸如半導體或矽晶圓之基板上以形成積體電路(I.C.)。其他基板可包括平板顯示器、全像遮罩或甚至其他倍縮光罩。習知光學微影術通常使用193nm波長或更長之輻射。極紫外線(EUV)或X射線微影術亦被認為係光學微影術之類型,但使用之波長比習知微影術之193nm短得多。該倍縮光罩或多個倍縮光罩可包含與積體電路之個別層相對應之電路圖案,並且可將此圖案成像到基板上已塗佈有一層輻射敏感材料(稱為光阻劑或抗蝕劑)之某一區域上。習知光學微影術寫入器通常在光學微影過程中將光罩圖案減小到四分之一。因此,形成於倍縮光罩或遮罩上之圖案必須係基板或晶圓上之期望圖案之大小之四倍。
在諸如積體電路之半導體裝置之生產或製造中,可使用非光學方法將微影遮罩上之圖案轉印至諸如矽晶圓之基板上。奈米壓印微影術(NIL)係非光學微影術過程之實例。在NIL中,透過微影遮罩與基板之接觸將微影遮罩圖案轉印至基板。通常使用帶電粒子束微影術將NIL之微影遮罩製造為表面之製品。
在諸如積體電路之半導體裝置之生產或製造中,亦可使用無遮罩直寫來製造半導體裝置。無遮罩直寫係一種印刷過程,其中使用帶電粒子束微影術將圖案轉印至諸如半導體或矽晶圓之基板以形成積體電路。其他基板可包括平板顯示器、用於奈米壓印之壓印遮罩,或甚至倍縮光罩。一個層之期望圖案直接寫在表面上,該表面在這種情況下亦係基板。一旦經圖案化之層被轉印,該層就可經歷各種其他過程,例如蝕刻、離子植入(摻雜)、金屬化、氧化和 拋光。此等過程用於完成基板中之個別層。若需要數個層,則將針對每個新層重複整個過程或其變化形式。某些層可使用光學微影術來寫入,而其他層可使用無遮罩直寫來寫入以製造同一基板。此外,給定層之一些圖案可使用光學微影術來寫入,而其他圖案可使用無遮罩直寫來寫入。最終,基板上將存在多個裝置或積體電路之組合。此等積體電路然後藉由切粒或鋸切而彼此分離,且然後被安裝至個別封裝中。在更一般之情況下,表面上之圖案可用于定義人為產物,諸如顯示像素、全像圖或磁性記錄頭。
藉由光學微影術製造積體電路之一個目標係藉由使用倍縮光罩在基板上再製原始電路設計,其中倍縮光罩(有時稱為遮罩或光罩)係可使用帶電粒子束微影術曝光之表面。積體電路製造者總係試圖盡可能高效地利用半導體晶圓面積。工程師不斷縮小電路之大小,以使積體電路包含更多之電路元件並使用更少之功率。隨著積體電路臨界尺寸之大小之減小及其電路密度之增加,電路圖案或實體設計之臨界尺寸接近習知光學微影術中使用之光學曝光工具之解析度極限。隨著電路圖案之臨界尺寸變小並且接近曝光工具之解析度值,將實體設計準確地轉錄到在抗蝕劑層上顯影之實際電路圖案變得困難。為了進一步使用光學微影術來轉印具有小於光學微影術過程中使用之光波長之特徵之圖案,已經開發了一種稱為光學鄰近校正(OPC)之過程。OPC更改了實體設計,以補償由諸如光學繞射及特徵與鄰近特徵之光學相互作用等效應引起之失真。用倍縮光罩執行之解析度增強技術包括OPC和反向微影術(ILT)。
OPC可將亞解析度微影特徵添加到遮罩圖案,以減少原始實體設計圖案(亦即,設計)與基板上最終轉印之電路圖案之間的差異。亞解析度微影特徵與實體設計中之原始圖案相互作用且彼此相互作用,並補償鄰近效應,以改良最終轉印之電路圖案。為改良圖案轉印而添加之一個特徵稱為「襯線(serifs)」。襯線係小特徵,其可提高特定特徵之印刷之製造變化之精確度或 彈性。襯線之實例係一個小特徵,其位於圖案之一角上,以使最終轉印之影像中之角變尖。意欲在基板上印刷之圖案被稱為主要特徵。襯線係主要特徵之一部分。通常就主要特徵以及OPC特徵來論述要寫在倍縮光罩上之OPC裝飾圖案,主要特徵為反映OPC裝飾之前的設計之特徵,其中OPC特徵可能包括襯線、凹凸(jog)、亞解析度輔助特徵(SRAF)和負面特徵。OPC特徵受制於各種設計規則,諸如基於可使用光學微影術轉印至晶圓之最小特徵之大小之規則。其他設計規則可來自遮罩製造過程,或者,若使用字符投影帶電粒子束寫入系統在倍縮光罩上形成圖案,則可能來自模板製造過程。
SRAF特徵用於改良圖案之轉印。通常情況下,SRAF之表面製造過程所需之精確度或準確性要低於主要特徵。存在由OPC引入之類似裝飾作為負面特徵。在大特徵內部,可能存在經引入以增強微影效能之狹窄空間。狹窄空間比相等之窄線更難可靠地寫入,因此此等負面特徵通常最終成為最難可靠地寫在表面上之部分。
用於使用帶電粒子束系統在表面上之區域中曝光圖案之方法包括輸入該區域之一組原始曝光資訊,以及輸入目標鄰近效應校正(PEC)後最大劑量。目標PEC後最大劑量係基於最大寫入時間。基於一組原始曝光資訊來判定圖案區域之局部圖案密度。基於目標PEC後最大劑量,針對局部圖案密度判定PEC前最大劑量。利用PEC前最大劑量修改該組原始曝光資訊,以形成一組經修改之曝光資訊。
100:電子束寫入器系統
112:表面
114:電子束源/束源
116:電子束/束
118:孔板/板
120:孔/矩形孔
122:電子束/成形束
124:模板/矩形孔板/模板遮罩
126:開口/孔
128:圖案/倍縮光罩圖案
130:電子束
132:可移動平台/載台
134:基板
138:電磁或靜電縮小透鏡/縮小透鏡
140:縮小之電子束/電子束/帶電粒子束/束
142:偏轉器
210:帶電粒子小束/小束
220:束控制器
230:表面
310:矩形射擊
320:CP射擊
350:射擊
352:圓形圖案
360:射擊
362:矩形圖案/圖案
364:矩形圖案/圖案
400:帶電粒子束曝光系統/帶電粒子束系統/多束系統/多束帶電粒子束系統
402:電子束源/帶電粒子束源
404:電子束
406:聚光器
408:孔板
410:孔
424:表面
426:基板
428:可移動平台/載台
430:致動器
432:遮蔽控制器板
434:遮蔽控制器
436:成形之小束/小束/非成形之小束
440:束/小束群組
502:形狀
504:線
506:形狀/SRAF形狀/目標形狀
508:線
512:劑量量變曲線
514:線/抗蝕劑臨限值/臨限值
516:邊緣坡度/坡度
522:劑量量變曲線
528:邊緣坡度/坡度
532:劑量量變曲線
538:坡度/邊緣坡度/PEC前邊緣坡度
612:校正劑量量變曲線
616:邊緣坡度
618:反向散射
622:劑量量變曲線
628:邊緣坡度
638:邊緣坡度
702:矩形射擊/射擊/射擊輪廓/原始射擊
704:線
710:劑量曲線圖
712:劑量曲線/曲線
714:抗蝕劑臨限值/臨限值
716:邊緣坡度
720:劑量曲線圖
722:劑量曲線/曲線
725:臨時劑量曲線圖
726:邊緣坡度/更淺之邊緣坡度
728:反向散射
730:劑量曲線圖
732:新劑量曲線/減小之劑量曲線/劑量曲線/劑量量變曲線/曲線
736:邊緣坡度/坡度
738:反向散射
740:劑量曲線圖
742:劑量量變曲線
748:反向散射
750:劑量曲線圖
752:劑量量變曲線
758:劑量水平
810:形狀
815:形狀
820:形狀
822:形狀
824:形狀
826:形狀
828:形狀
830:形狀
832:形狀
834:形狀
910:經隔離形狀/形狀
913:新形狀/形狀
915:經隔離形狀/形狀
1000:期望圖案形狀
1001:人工背景劑量形狀
1002:人工背景劑量形狀
1003:人工背景劑量形狀
1004:人工背景劑量形狀
1005:人工背景劑量形狀
1010:圖案形狀
1011:人工背景劑量形狀
1012:人工背景劑量形狀
1110:PEC前最大劑量
1120:PEC後最大劑量/劑量
1200:像素陣列
1210:所計算邊緣
1220:較小形狀
1300:像素陣列
1310:大小
1400:線/虛線
1410:曲線/線
1420:曲線/線
1430:線
1440:線
1450:線/虛線
1510:區域
1600:概念性流程圖/流程
1602:第一步驟/步驟
1604:步驟
1606:遮罩設計/步驟
1608:步驟/MDP步驟
1618:步驟/PEC改進
1620:步驟
1622:遮罩寫入步驟
1624:遮罩影像
1626:步驟
1700:流程
1706:步驟
1708:步驟
1710:步驟
1712:步驟
1714:步驟
1716:步驟
1800:計算硬體裝置
1802:中央處理單元(CPU)
1804:主記憶體
1808:磁碟控制器
1810:磁碟
1812:區域網路(LAN)控制器
1814:圖形處理單元(GPU)
1820:高速周邊組件互連(PCIe)匯流排
圖1示出了如本技術領域中已知之可變形狀束(VSB)帶電粒子束系統之實例。
圖2示出了如本技術領域中已知之多束曝光系統之電光示意圖之實 例。
圖3A至圖3D示出了如本技術領域中已知之各種類型之射擊。
圖4示出了如本技術領域中已知之多束帶電粒子束系統之實例。
圖5A至圖5C以及圖6A至圖6C示出了如本技術領域中已知之在PEC之後對於具有大形狀和小形狀之區域之劑量之影響。
圖7A至圖7G示出了如本技術領域中已知之PEC迭代和矩形形狀之所得劑量曲線。
圖8A至圖8F示出了如本技術領域中已知之低密度曝光到高密度曝光之實例。
圖9A至圖9B示出了根據本揭示內容之實施例之低密度曝光區域,其中添加了人工背景劑量。
圖10A至圖10F示出了人工背景劑量之實例性實施例。
圖11示出了根據一些實施例之藉由局部圖案密度調節之實例性動態最大劑量。
圖12示出了如本技術領域中已知之具有線性校正之線端之劑量圖譜。
圖13示出了根據一些實施例之具有PEC後最大劑量之線端之劑量圖譜。
圖14示出了根據一些實施例之藉由局部圖案密度所得之各種PEC後最大劑量下之劑量限度。
圖15示出了根據一些實施例之藉由局部圖案密度與添加之人工背景劑量所得之各種PEC後最大劑量之劑量限度。
圖16示出了如本技術領域中已知之用於製備表面或倍縮光罩之概念流程圖,該表面或倍縮光罩用於在矽晶圓上製造諸如積體電路之基板。
圖17示出了根據一些實施例之其中計算動態最大劑量和人工背景劑量之流程。
圖18示出了在方法之實施例中使用之實例性計算硬體裝置。
本揭示內容闡述了用於藉由減少曝光射擊区或形狀所需之劑量來減少帶電粒子束寫入時間之方法。方法包括基於要寫入之圖案密度動態地計算鄰近效應校正前(PEC前)之最大劑量。方法亦包括藉由在該區域內投射人工背景劑量來計算相對低密度曝光區域內之PEC前最大劑量。
帶電粒子束微影術之成本與在諸如倍縮光罩或晶圓之表面上曝光圖案所需之時間直接相關。照慣例,曝光時間與寫入圖案所需之曝光量有關。對於最複雜之積體電路設計,在一組倍縮光罩上或在基板上形成一組層圖案係昂貴且費時之過程。因此,將有利的係,能夠減少在倍縮光罩和其他表面上形成此等圖案所需之曝光,諸如藉由減少寫入該等圖案所需之時間。
現在參考附圖,其中相似之數字係指相似項,圖1示出了微影系統之實施例,諸如帶電粒子束寫入器系統,在這種情況下為電子束寫入器系統100,其採用可變形狀束(VSB)來製造表面112。電子束寫入器系統100具有將電子束116朝向孔板118投影之電子束源114。板118中形成有允許電子束116通過之孔120。一旦電子束116通過孔120,電子束116便被透鏡系統(未示出)引導或偏轉為朝向另一矩形孔板或模板遮罩124之電子束122。模板124中形成有若干開口或孔126,該等開口或孔定義各種簡單之形狀,諸如矩形和三角形。模板124中形成之每個孔126可用于在諸如矽晶圓、倍縮光罩或其他基板之基板134之表面112中形成圖案。電子束130從孔126中之一者射出,並通過電磁或靜電縮小透鏡138,這減小了從孔126射出之圖案之大小。在通常可用之帶電粒子束寫入器系統中,縮小因數介於10到60之間。縮小之電子束140從縮小透鏡 138射出,並藉由一系列偏轉器142引導至表面112上作為圖案128。表面112塗佈有與電子束140反應之抗蝕劑(未示出)。電子束122可經引導以與孔126之可變部分重疊,從而影響圖案128之大小和形狀。可使用遮蔽板(未示出)來使束116或成形束122偏轉,以便在每次射擊之後在引導束122之透鏡和偏轉器142被重新調整以用於後續射擊的時段期間,防止電子束到達表面112。模板124本身可藉由使束116偏轉以命中模板124之未敞開部分來充當偏轉器。通常,遮蔽板經定位以使電子束116偏轉從而防止該電子束照射孔120。照慣例,遮蔽時段可為固定之時間長度,或者可取決於(例如)必須重新調整多少偏轉器142來定位後續射擊而改變。注意,VSB系統可被認為係字符投影之一種特殊(簡單)情況,其中字符僅係簡單之字符,通常為矩形或45度直角三角形。亦可部分地曝光字符。例如,這可藉由阻擋部分粒子束來完成。在本揭示內容中,部分投影用於意指字符投影和VSB投影兩者。
在電子束寫入器系統100中,基板134安裝在可移動平台或載台132上。載台132允許基板134被重新定位,使得可將大於帶電粒子束140之最大偏轉能力或場大小之圖案寫入至表面112之一系列子場中,其中每個子場皆在偏轉器142使束140偏轉之能力內。在一個實施例中,基板134可為倍縮光罩。在該實施例中,倍縮光罩在用圖案曝光之後經歷各種製造步驟,透過該等製造步驟,該倍縮光罩變成微影遮罩或光罩。然後,可在光學微影機中使用該遮罩以將大小通常減小的倍縮光罩圖案128之影像投影至矽晶圓上以產生積體電路。更一般而言,該遮罩在另一裝置或機器中用於將圖案128轉印至基板(未示出)。
在該VSB實例中,帶電粒子束寫入器(諸如電子束寫入器系統,無論係VSB、CP還是多束機器)之射擊劑量係束源114之強度和每次射擊之曝光時間之函數。通常,束強度保持固定而改變曝光時間以獲得可變之射擊劑量。可改變曝光時間以補償中程效應校正(MEC)、諸如負載效應校正(LEC)和 霧化效應校正(FEC)之各種長程效應以及在稱為鄰近效應校正(PEC)之過程中之反向散射。在本揭示內容中,術語PEC用於闡述MEC、LEC、FEC及反向散射校正。電子束寫入器系統通常允許設定總劑量(稱為基本劑量),該總劑量影響一次曝光中之所有射擊。一些電子束寫入器系統在電子束寫入器系統本身內執行劑量補償計算,並且不允許將每次射擊之劑量作為輸入射擊列表之一部分單獨指派,因此,輸入射擊具有未經指派之射擊劑量。在此類電子束寫入器系統中,所有射擊在PEC之前皆具有基本劑量。其他電子束寫入器系統允許逐射擊地進行劑量指派。在允許逐射擊劑量指派之電子束寫入器系統中,可用劑量水平之數目可為64至4096個或更多個,或者可存在相對較少之可用劑量水平,例如3至8個水平。本揭示內容之一些實施例旨在與允許指派劑量水平之帶電粒子束寫入系統一起使用。
帶電粒子束系統可利用複數個可單獨控制之束或小束來曝光表面。圖2示出了其中存在三個帶電粒子小束210之電光示意圖。每個小束210與束控制器220相關聯。每個束控制器220可例如允許其相關聯之小束210撞擊表面230,並且亦可防止小束210撞擊表面230。在一些實施例中,束控制器220亦可控制小束210之束模糊、放大率、大小及/或形狀。在本揭示內容中,具有複數個可單獨控制之小束之帶電粒子束系統被稱為多束系統。在一些實施例中,可細分來自單個源之帶電粒子以形成複數個小束210。在其他實施例中,可使用複數個源來形成複數個小束210。在一些實施例中,小束210可藉由一或多個孔成形,而在其他實施例中,可不存在用於使小束成形之孔。每個束控制器220可允許其相關聯之小束之曝光時段被單獨地控制。一般而言,在撞擊表面230之前,小束之大小將藉由一或多個透鏡(未示出)減小,表面230通常將塗佈有抗蝕劑。在一些實施例中,每個小束可具有單獨之電光透鏡,而在其他實施例中,複數個小束,包括可能的所有小束,將共用一電光透鏡。
圖3A至圖3D示出了各種類型之射擊。圖3A示出了矩形射擊310之實例。VSB帶電粒子束系統可例如形成各種x和y尺寸之矩形射擊。圖3B示出了CP射擊320之實例,該CP射擊在該實例中係圓形的。任何形狀皆可表示為CP射擊,諸如八邊形或更複雜之形狀(諸如字母E)。為了本揭示內容之目的,射擊係一段時間內某一表面區域之曝光。該區域可包括多個不連續之較小區域。一次射擊可包括複數個其他射擊,此等射擊可重疊或可不重疊,並且可同時曝光或可不同時曝光。一次射擊可包括指定之劑量,或者可未指定劑量。射擊可使用成形束、非成形束或者成形束與非成形束之組合。
圖3C示出了射擊350之實例,該射擊係圓形圖案352之陣列。射擊350可以各種方式形成,包括單個圓形CP字符之多次射擊,為圓形孔陣列之CP字符之一或多次射擊,以及使用圓形孔之一或多次多束射擊。圖3D示出了射擊360之實例,該射擊係矩形圖案362和364之稀疏陣列。射擊360可以各種方式形成,包括複數次VSB射擊、CP射擊以及使用矩形孔之一或多次多束射擊。在多束之一些實施例中,射擊360可包括其他多束射擊之複數個交錯群組。例如,可同時發射圖案362,然後可在不同於圖案362之時間同時發射圖案364。
圖4示出了帶電粒子束曝光系統400之實施例。帶電粒子束系統400係多束系統,其中複數個可單獨控制之成形束可同時曝光表面。多束系統400具有產生電子束404之電子束源402。電子束404藉由聚光器406被導向孔板408,該聚光器406可包括靜電及/或磁性元件。孔板408具有複數個孔410,該等孔被電子束404照射,並且電子束404通過該等孔410以形成複數個成形之小束436。因此,多束帶電粒子束系統400包括帶電粒子束源402和孔板408,其中孔板408包括複數個孔410,帶電粒子束源402照射該等孔。在一些實施例中,多束帶電粒子束系統包括單個孔板408。在一些實施例中,孔板408可具有數百或數千個孔410。雖然圖4示出了具有單個電子束源402之實施例,但在其他實 施例中,孔410可被來自複數個電子束源之電子照射。孔410可為圓形的,如圖4所示,或可具有不同之形狀,例如如圖1所示之矩形孔120。然後,該組小束436照射遮蔽控制器板432。遮蔽控制器板432具有複數個遮蔽控制器434,每個遮蔽控制器皆與小束436對準。每個遮蔽控制器434可單獨控制其相關聯之小束436,以允許小束436撞擊表面424,或防止小束436撞擊表面424。束撞擊表面之時間量控制該小束施加之總能量或「劑量」。通常,多束寫入器掃描要曝光之整個區域。因此,無論形狀計數或形狀複雜度如何,寫入時間皆係恒定的。
基板426定位在可移動平台或載台428上,可使用致動器430對該可移動平台或載台進行重新定位。藉由使載台428移動,束440可使用多次曝光或射擊來曝光大於由小束群組440形成之最大大小圖案之尺寸之區域。在一些實施例中,載台428在曝光期間保持靜止,且然後被重新定位以用於後續曝光。在其他實施例中,載台428連續地且以可變速度移動。在又其他實施例中,載台428連續地但以恒定速度移動,這可增加載台定位之精確度。對於其中載台428連續移動之那些實施例,可使用一組偏轉器(未示出)來移動束以匹配載台428之方向和速度,從而允許小束群組440在曝光期間相對於表面424保持靜止。在多束系統之又其他實施例中,小束群組中之個別小束可獨立於小束群組中之其他小束而偏轉跨越表面424。
其他類型之多束系統可諸如藉由使用複數個帶電粒子束源形成高斯小束陣列來形成複數個非成形之小束436。儘管就帶電粒子束微影術闡述了本揭示內容,但所闡述之方法亦可應用于雷射微影術,特別係多束雷射微影術。
在所有高精確度電子束寫入器中皆係藉由控制曝光時間而非藉由動態地改變束之電流密度來控制劑量。藉由實踐,應理解,與電流密度相比,可以更精確地控制曝光時間。由於這個原因,與低劑量曝光相比,可藉由增加束 接通之時間來實現高劑量曝光。可設想電子束寫入器具有可變之電流密度。若至少部分地藉由控制曝光時間而實現劑量控制,則本揭示內容之本發明實施例係適用的。可使用遮蔽控制器434單獨控制小束群組中之每個小束436之曝光時間。因此,多束寫入時間藉由需要施加之最大劑量來判定。在其中載台針對每個小束群組(例如,條帶)停止之寫入器中,最高劑量之小束會影響整個條帶之曝光時間。在具有恒定速度載台之寫入器中,速度可係對於整個設計恒定的或者在一段時間內部分恒定的,該整個設計可係倍縮光罩之子組、整個倍縮光罩或晶圓或者任何其他表面。因此,條帶中之最高劑量之小束可判定載台速度,並因此判定整個設計或表面之寫入時間。即使在可變速度載台中,速度無法太快地變化,因為載台很重以提供穩定性,而加速或減速速度會消耗大量能量,並且係振動和模糊之來源。
在先進之過程節點中,與正向散射之典型組合模糊半徑以及用於足夠快地印刷遮罩以具有商業價值之典型抗蝕劑之抗蝕劑模糊相比,需要精確地寫入在遮罩上之特徵之尺寸小於3倍。在典型之前緣遮罩中,將寬度小於60nm之亞解析度輔助特徵(SRAF)寫入在遮罩上,其中組合之模糊範圍在20nm以上。在用於EUV微影術之遮罩上,通常預期,在遮罩上普遍需要約30nm寬度之SRAF。在產生遮罩形狀之光學鄰近校正(OPC)或反向微影技術(ILT)步驟中,若可精確地印刷較小之形狀(諸如SRAF),則可進一步提高晶圓效能。但是,同樣至關重要的係,由OPC/ILT產生之遮罩形狀實際上可在整個遮罩過程變化中在遮罩上可靠地產生。建立表示折衷之遮罩設計規則,諸如遮罩上之最小允許之特徵寬度和最小允許之特徵間距。OPC/ILT需要確保輸出符合遮罩設計規則。遮罩製造商生產之遮罩需要在整個製造變化中嚴格遵守遮罩設計規則,並達到一定的議定規格。較窄之形狀更難寫入在遮罩上。小正方形最難寫入。但是,由於小正方形對晶圓效能之影響通常較小,因此在前緣遮罩處理中, 準確寫入窄SRAF之需求頗為重要。
在同一遮罩上存在較大其他形狀之情況下,在遮罩上寫入此類小形狀通常利用劑量調變來實現。由於總的遮罩寫入時間為遮罩成本之主要驅動因素之一,因此選擇其靈敏度足以為較大的「主要」特徵實現所需精確度之抗蝕劑,以表示精確度-速度/成本之間的折衷。但是,亦需要準確完成SRAF和其他較小形狀之寫入,並且一種常見之技術係提高(即增加)或部分地提高SRAF之劑量,以使SRAF更好地被印刷。
在工業中,正常劑量被提高之量通常可在正常劑量之1.2倍至正常劑量之3倍之間變化,但劑量可為正常劑量之任何倍數。提高量愈多導致寫入時間愈長,但可產生較小形狀之愈準確印刷。圖5A至圖5B示出了足夠大的形狀502及其劑量量變曲線(即劑量曲線)512與較小之SRAF形狀506及其劑量量變曲線522之間的差異,兩次射擊分別具有沿著穿過形狀502和506之射擊輪廓之線504和508量測之正常劑量,具有與線514所指示相同之抗蝕劑臨限值。形狀502和506被分解開以指示長度比寬度長得多之矩形形狀,其中使用正常劑量將每種形狀發射在抗蝕劑上,抗蝕劑具有為正常劑量之一半之臨限值。低於抗蝕劑臨限值之劑量將不印刷。
在VSB機器中,射擊輪廓係關於寫入器之電子束投影形狀。在多束機器中,射擊輪廓係關於寫入器之期望形狀之形狀,從而產生像素之光柵化以及適合於用於繪製形狀之像素之各種劑量之電子束投影。為了簡化理解,在本揭示內容中,以機器係VSB為例繪製並解釋了劑量量變曲線,但該等實施例亦可應用於多束。在多束機器中,像素之光柵化進一步使劑量量變曲線複雜化,並且取決於形狀相對於像素柵格之位置。為了理解本揭示內容之概念,多束寫入之此等額外複雜性係不相關的。
對於足夠大的形狀,劑量量變曲線512在正常劑量下達到平線區。 即使形狀502之寬度較大,該平線區亦處於相同劑量。對於形狀506所示之較小形狀,沒有足夠的能量來使劑量量變曲線之峰值達到正常劑量。劑量量變曲線522之形狀不具有平線區。另外,劑量量變曲線522在與形狀506之寬度相同之位置處沒有與抗蝕劑臨限值514交叉。由於沒有足夠的能量,因此抗蝕劑上之曝光形狀將比期望寬度窄。
形狀502和506之左邊緣之劑量限度分別由邊緣坡度516和528指示。坡度528比坡度516淺。形狀506愈窄,劑量量變曲線愈低並且坡度528將變得愈淺。一旦形狀502足夠寬以使劑量量變曲線512達到平線區,更寬之形狀將不會改變坡度516。坡度愈淺,劑量限度愈差,這意指在給定一定劑量變化之情況下,作為形狀之寬度之臨界尺寸(CD)會發生更大變化。術語劑量限度在本文中闡述由一組帶電粒子束射擊所定義之圖案對所有類型之製造變化(包括劑量相關變化)之容限。更好之劑量限度指示更高之容限。對於熟習此項技術者而言,通常應理解,劑量變化之彈性能良好替代諸多製造變化來源。為了改良如劑量限度所指示之製造變化之彈性,一種習知方法係對較小之形狀使用高於正常基本劑量之劑量,諸如圖5C之劑量量變曲線532所繪示。在該實例中使用大於1.0之基本劑量,其中選擇劑量以使由與抗蝕劑臨限值514交叉之劑量量變曲線532之點之間的距離所指示之CD為目標形狀506之期望寬度。工業上使用劑量調整與形狀調整之諸多組合。圖5C係其中僅部署劑量調整之一個實例。在該實例中,一旦針對形狀506計算出劑量,就可藉由計算劑量量變曲線532(其與臨限值514交叉)之坡度538來量測劑量限度。注意,邊緣坡度538比邊緣坡度528更好(即,具有更陡之坡度),但可能仍然比邊緣坡度516差。
由於對比度,劑量限度對於小特徵之可印刷性亦係重要的。對比度係在曝光區域內部之緊鄰區與在曝光區域外部之緊鄰區施加到抗蝕劑之能量之量的差異。半導體遮罩處理中之緊鄰區可係幾奈米到幾十奈米。由於劑量量變 曲線係所關注之長度標度上之連續函數,因此劑量限度和對比度彼此高度相關。對比度不足將使形狀無法分辨。減小可用給定之抗蝕劑可靠地分辨之形狀之最小大小對於遮罩製造之經濟性很重要。
存在影響需要電子束寫入器直接投射以在表面上印刷形狀之劑量之量的另一個因素。該因素稱為鄰近效應校正(PEC),其用於校正電子束「射擊」在所關注區域周圍投射之電子之反向散射。圖6A至圖6B示出了在應用PEC之後的對應於圖5A至圖5B之射擊之所得劑量。在圖6A中,藉由形狀502及其周圍之射擊所投射之電子之反向散射(通常在距射擊約10μm之距離內)由表示反向散射618之虛線來指示。若周圍區域密集地填充有曝光,則反向散射618將更高。若周圍區域被稀疏填充,則反向散射618將較低,可能接近零。如一般實踐,PEC迭代地優化對於足夠大的形狀502之施加劑量,使得經施加以發射形狀502之劑量致使形狀502之寬度被準確地印刷,而不管反向散射貢獻之額外劑量如何。曝光之經校正劑量量變曲線612(不包括反向散射)之劑量少於劑量量變曲線512。邊緣坡度616比邊緣坡度516淺。從毗鄰區域接收到之更大量之反向散射使劑量限度更差。圖6B示出了應用於較小形狀(諸如用於SRAF)之相同PEC。由於通常以相同之方式將PEC應用於所有大小之所有形狀,因此在應用PEC之後,與劑量量變曲線522相比,所得之PEC後劑量量變曲線622之量值甚至變得更小(不包括來自反向散射之基本劑量,如反向散射618之水平虛線所示)。因此,邊緣坡度628甚至比邊緣坡度528淺,並且實質上比邊緣坡度616淺,使得劑量限度更差,並且此等形狀更容易受到製造變化之影響。圖6C示出了圖5C中所闡述之射擊之PEC後劑量量變曲線,其中增加劑量以滿足期望之臨界尺寸(CD)。邊緣坡度638比在應用PEC之前的PEC前邊緣坡度538更淺(更差),但比正常劑量、PEC後邊緣坡度628好。
反向散射係由帶電粒子(諸如電子)引起的,該等帶電粒子在與抗 蝕劑和抗蝕劑下面之材料碰撞後會「反彈」。反向散射之有效半徑可為例如10微米,這比射擊之大小大得多。因此,在射擊之該有效半徑內來自附近射擊之所有反向散射皆將在射擊之位置增加劑量。若不進行校正,則反向散射可能會給射擊增加比射擊之預期劑量更多之劑量,從而導致圖案更寬地配準,在高曝光密度之區域中更係如此。
圖7A至圖7G示出了如本技術領域中已知之由PEC應用以校正用於發射每種形狀之劑量來校正反向散射效應之迭代序列。圖7A示出了矩形射擊702之輪廓之實例。間斷表明射擊702可在垂直方向上任意長。在假設了常用之遮罩生產過程之本實例中,射擊702之寬度(出於說明之目的,即「g」與「h」之間的距離)被假定為介於100nm至300nm之間,明顯小於假定之約10μm之反向散射範圍。多束機器之射擊大小通常為5nm至20nm。在多束機器之情況下,射擊集合組合在一起來發射諸如射擊702之形狀。反向散射以與射擊集合相同之方式應用於單次射擊,並且以相同之方式應用PEC校正。圖7B示出了劑量曲線圖710之實例,該劑量曲線圖710示出了沿著穿過射擊輪廓702之線704之劑量具有正常射擊劑量,幾乎沒有反向散射。亦假定其他長程效應對圖7B之背景曝光沒有貢獻,從而導致接近零之背景曝光水平。遞送到抗蝕劑之總劑量在y軸上示出,並且係正常劑量之1.0倍。由於背景曝光接近於零,因此總劑量和射擊劑量幾乎相同。劑量曲線圖710亦示出了處於正常劑量之一半之抗蝕劑臨限值714。劑量曲線圖710在x方向上表示之形狀之CD變化與劑量曲線(即劑量量變曲線)712在與抗蝕劑臨限值714相交之x座標「g」和「h」處之坡度負相關。劑量曲線712在臨限值714處之邊緣坡度716被示出為直角三角形之斜邊。
圖7B中接近零之背景曝光之狀況不能反映實際設計。實際設計通常將在射擊702之反向散射距離內具有諸多其他射擊。圖7C示出了具有由50%之曝光密度導致之反向散射728之具有正常劑量之射擊之劑量曲線圖720之實 例。在劑量曲線圖720中,除了背景曝光(反向散射728)之外,劑量曲線722亦示出了射擊702之橫截面劑量。劑量曲線722在臨限值714處之邊緣坡度726被示出為直角三角形之斜邊。曲線722之CD變化比曲線712之CD變化更大(更差),如由更淺之邊緣坡度726所指示,其中與邊緣坡度716相比,曲線722在點「g」和「h」以外與抗蝕劑臨限值714相交。更淺之邊緣坡度726歸因於抗蝕劑臨限值與劑量曲線之下部相交,其中劑量限度由於反向散射728引起之背景曝光而變得更差。劑量曲線722在抗蝕劑臨限值714處之交點比點「g」和「h」更寬,從而指示印刷之CD將大於期望之大小。反向散射728「預曝光」抗蝕劑,因此在存在反向散射728之情況下以正常劑量發射劑量曲線722會導致CD印刷得比所期望更大。
圖7D示出了PEC之後的新劑量曲線732。臨時劑量曲線圖725仍顯示了50%之反向散射728,但具有減小之劑量曲線732,其經計算使得在當前反向散射下,劑量曲線732與抗蝕劑臨限值714之交點恰好在「g」和「h」處量測到。這係PEC第一次迭代之結果,用於校正由於反向散射而產生之劑量過量。在該迭代中,假定反向散射量與反向散射728相同,因為無法知曉在對所有周圍射擊執行PEC之後的新反向散射。PEC計算減小了施加到射擊702之劑量,使得抗蝕劑臨限值714在「g」和「h」處與劑量量變曲線732相交。劑量曲線732在臨限值714處之邊緣坡度736被示出為直角三角形之斜邊。注意,坡度736比坡度716淺,因為PEC使劑量限度變差。
圖7E之劑量曲線圖730示出了PEC需要多次迭代之原因。當且僅當反向散射738與反向散射728相同時,如圖7D所示執行之PEC計算才精確地命中「g」和「h」。但是,由於對所有射擊皆執行了PEC,因此反向散射738將減少,因為該射擊周圍之所有射擊皆將被應用PEC,從而導致每次射擊劑量減少(因為該射擊之劑量自曲線722減少為曲線732)。新反向散射在圖7E中 指示為反向散射738。反向散射738低於反向散射728。可看出,反向散射之減小導致配準圖案大小小於原始射擊702之期望大小。
PEC之第二次迭代藉由使用此新的(減少之)反向散射量(反向散射738)重新計算PEC來對此進行校正。現在在具有較低之反向散射之情況下,需要以增加之劑量來提高劑量量變曲線732,以命中目標「g」和「h」,以印刷具有正確CD之形狀(諸如射擊702)。
如圖7F之劑量曲線圖740所指示,在設計之所有形狀上提高PEC之劑量會導致高於劑量曲線732之劑量量變曲線742。隨後,在調整附近之所有其他形狀之後,將產生比反向散射738高之反向散射748。PEC之第三次迭代藉由使用此新的(增加之)反向散射量再次重新計算PEC來對此進行校正。此等迭代交替地繼續,直到在反向散射量之預定容限內收斂為止,如圖7G之劑量曲線圖750中之劑量水平758處所指示,並且劑量量變曲線752在臨限值714處正確地產生期望大小之原始射擊702。然後,使用收斂之劑量將個別形狀發射到遮罩上,從而得到所有形狀之反向散射校正劑量集作為PEC結果。
圖8A至圖8B示出了PEC如何影響經隔離形狀之實例。在圖8A中,形狀810和815係未被其他曝光以其他方式佔據之區域中之經隔離圖案。初始劑量可計算為兩種形狀之正常劑量之1.0倍。圖8B示出了在PEC之後計算出之劑量仍大約係正常劑量之1.0倍,這表明幾乎未受到影響,這歸因于除了形狀810和815造成之反向散射之外,沒有周圍之反向散射。
圖8C至圖8D示出了PEC如何影響50%曝光密度區域中之形狀之實例;亦即,其中50%之區域被圖案覆蓋。在圖8C中,以重複之線和間隔圖案示出了形狀820、822、824、826和828,其中劑量形狀及其間之空間在寬度上相等,並且對於10μm之有效半徑,在所有方向上重複該線和間隔圖案。每種形狀之初始劑量可計算為正常劑量之1.0倍。圖8D示出了如下實例:由於在PEC期 間來自相鄰形狀之周圍反向散射,因此對於重複圖案中之所有形狀,將劑量減小到正常劑量之0.67。
圖8E至圖8F示出了PEC如何影響高密度區域中之形狀之實例。在圖8E中,示出了形狀830、832和834,其中形狀832係所關注之形狀。形狀830和834係較大的曝光區域,其寬度大於寬度為10μm之有效半徑,並且圖案以10μm之有效半徑上下延伸。每種形狀之初始劑量可計算為正常劑量之1.0倍。圖8F示出了由於在PEC期間來自相鄰形狀之周圍反向散射,因此將劑量減小到例如形狀832之正常劑量之0.5。PEC在數學上將每次曝光之劑量減少了適當之量,以使CD等於在沒有足夠大形狀之反向散射之情況下之原本CD。因此,PEC有效地消除了反向散射之效應。反向散射始終為正值。因此,PEC始終會減少劑量以進行補償。
由於PEC藉由「拉平」高斯來工作,因此在高反向散射區域中曝光形狀所需之劑量顯著減少。具有高反向散射之區域(形狀被高劑量密度包圍)與不具有反向散射之區域(形狀被低劑量密度包圍)之間的所需劑量之差異可以高達2倍或更大。具有正常劑量之像素或射擊針對PEC計算之第一次迭代之經修改之劑量D pec 由以下公式給出:
Figure 109116592-A0305-02-0021-1
在抗蝕劑臨限值為正常劑量之0.5時,T emp 係在抗蝕劑臨限值處之正向散射分數,並且eta( η )係正規化常數。利用為0.5之T emp 、為0.5之eta( η )以及為100%之曝光密度,D pec 經計算為0.5。若將SRAF指派為具有兩倍(2.0倍)正常劑量但在高密度且高反向散射之區域中之射擊,則像素或射擊將最終為正常劑量之大約1.0倍,因為在PEC計算之第一次迭之後,0.5*(2.0)=1.0。
這係因為該區域大部分曝光於來自反向散射之能量。因此,僅需要來自此像素或射擊之能量之一小部分便可投射足夠的能量,以達到曝光抗蝕劑 之臨限值。
具有接近零之反向散射之區域每次射擊之電子束劑量最大。因此,在恒定寫入時間多束寫入器中,整個機器之寫入時間係藉由利用通常用於窄形狀(諸如用於SRAF)之最高增強劑量寫入那些隔離圖案來決定。典型之測試遮罩具有高密度區域中之圖案與低密度區域中之圖案之混合。典型之生產遮罩之變化要少得多。一些遮罩之圖案全部皆很密集(例如平均曝光密度為70%)。其他遮罩之圖案皆很稀疏(例如,平均曝光密度為25%)。但是,由於諸多生產遮罩中組合有一些測試圖案,因此不能保證變化低。無論如何,多束寫入速度,特別係對於恒定寫入時間寫入器而言,係由在具有較少反向散射投射之環境中組合高增強劑量之像素決定的。雖然程度較輕,但意義重大地,可變寫入時間寫入器(諸如VSB寫入器以及可能的多束寫入器)之寫入速度由在具有較少反向散射之環境中組合高增強劑量之射擊來決定。
人工背景劑量
在一些實施例中,將人工背景劑量引入原本較低之反向散射之區域中,以減少像素或射擊劑量且因此減少總的寫入時間。因此,像素或射擊在PEC之後將具有較低之劑量,從而減少遮罩或遮罩之一部分之像素或射擊劑量之最大值,從而增加載台移動速度,且藉此顯著減少總的寫入時間。
圖9A至圖9B示出了根據一些實施例之如何引入人工背景劑量可模擬類似於圖8C和圖8D之50%密度。圖9A示出了與圖8A類似之經隔離形狀910和915,但以形狀913之形式添加了人工背景劑量。在此實例中,初始劑量設定為形狀910和915之正常劑量之1.0倍(如前說述)以及為形狀913之正常劑量之0.30之人工背景劑量。重要的是要注意,應用於該新形狀913之劑量低於印刷臨限值。圖9B示出了與圖8D類似的在PEC之後為正常劑量之0.67之劑量減少,從而減少了印刷先前經隔離形狀910和915所需之總劑量。在一些實施例 中,形狀913亦減小到正常劑量之0.20。在此簡化實例中,假定形狀913遠離形狀910和915延伸超過反向散射半徑。在大多數情況下,形狀913之大小將大大減小,以便僅在緊密包圍形狀910和915之區域中添加人工背景劑量。用於包圍形狀910、913和915之其他形狀之其他此類人工背景劑量將對此等形狀產生實際之反向散射。在本發明實施例中,當計算形狀910和915之實際PEC後劑量時,使用PEC計算所有此等能量貢獻。在一些實施例中,形狀913之劑量未藉由PEC之所有迭代來修改。在一些實施例中,形狀913之劑量藉由PEC之所有迭代來修改。
根據實踐設定之某一參數,可將人工背景劑量添加至其中反向散射足夠低之區域,在該等區域中,PEC後劑量限度比「足夠好」好得多。圖10A至圖10F示出了人工背景劑量之幾個實例,其中所添加之人工背景劑量呈將與期望圖案組合發射之額外圖案(具有散列填充線之圖案)之形式。人工背景劑量為次臨限值曝光;亦即,劑量低於印刷所需之抗蝕劑臨限值。圖10A示出了可如何將人工背景劑量形狀1001投射在包含要印刷在表面上之期望圖案形狀1000之區域上,從而完全覆蓋該區域中之圖案。圖10B示出了除了已經存在期望圖案形狀1000之區域之外的區域中之人工背景劑量形狀1002,以便不覆蓋該圖案。圖10C示出了在圍繞期望圖案形狀1000之區域中的具有一定限度(例如距圖案邊緣最多3σ)之人工背景劑量形狀1003。對於足夠大的圖案,如在圖10D中之圖案形狀1010中,僅在距要印刷在表面上之圖案形狀1010之邊緣超過預定距離之外施加人工背景劑量形狀1011和1012。在例如邊緣、線端或SRAF之特徵周圍形成限度,在某些情況下以這種方式應用之人工背景劑量可能比僅在各處增加背景曝光更好。替代地,可將人工背景劑量添加到該區域之任何地方,例如,如在圖10E和圖10F中之每一者中所示,其中人工背景劑量形狀1004和1005分別部分地覆蓋了期望圖案形狀1000。
動態最大劑量
可安全地將人工背景劑量添加到低於抗蝕劑臨限值之某個劑量水平。機器寫入時間取決於PEC後最大劑量。確保所指定寫入時間之一種方法係限制PEC後最大劑量,並截斷高於該最大劑量之任何劑量。然而,截斷劑量可導致印刷錯誤。在本發明實施例中,闡述了可被稱為動態最大劑量(DMD)之方法,其中選擇目標PEC後最大劑量並將其用於判定PEC前最大劑量。基於圖案密度動態地執行計算,使得所得之PEC後劑量不超過所選之(目標)PEC後最大劑量,從而消除對劑量截斷之需要。在一些實施例中,輸入目標PEC後最大劑量。圖11示出了使用50%密度(即,值為0.5)之線間隔圖案作為實例場景來計算PEC前最大劑量以滿足目標PEC後最大劑量之實例。在該實施例中,根據習知PEC方法,為1.5之PEC前最大劑量1110將導致為1.07之PEC後最大劑量1120。依據PEC前最大劑量1110對PEC後最大劑量1120之這種計算由圖11中之向下箭頭指示。為1.5之PEC前劑量通常將印刷出大於其期望大小之特徵,然而,對於小特徵,可能需要此劑量作為執行線性校正之方法。根據一些實施例,使用者可確定PEC後劑量1.07對於要寫入之所有圖案密度係足夠的,並且可選擇劑量1120(劑量值1.07)作為目標PEC後最大劑量。因此,對於其他圖案密度(例如10%、20%等),然後基於所選之PEC後最大劑量計算PEC前最大劑量(即,將導致目標PEC後最大劑量之PEC前劑量),如圖11中之向上箭頭所指示。儘管PEC前劑量與PEC後劑量之間的計算可使用習知PEC方法來執行,但所屬領域中尚未考慮到如本發明實施例中所揭示的基於目標PEC後最大劑量來計算PEC前劑量並針對寫入之圖案密度來進行調整。
返回到圖8A至圖8F,具體而言圖8B、圖8D及圖8F,此等圖示出了隨著圖案密度之增加,由PEC校正之劑量減小,以便藉由增加反向散射來補償額外之曝光。另外,反向散射之增加以及所得之PEC劑量減少亦減小劑量限 度,如圖7A至圖7G中所示。因此,隨著圖案密度之增加,正常劑量將導致較低之劑量限度。在一些實施例中,提供足夠的劑量限度包括增加劑量使得PEC後最大劑量在預置極限內(例如正常劑量之0.7至1.3倍),並執行線性校正以確保形狀之大小正確。
圖12至圖13示出了如何利用像素劑量陣列形狀資料來實現具有PEC後劑量最大值之劑量限度增強。如熟習此項技術者所公知,例如,在半導體裝置製造中之前緣遮罩過程中,當小於約100nm之遮罩尺寸之形狀以正常1.0劑量射擊來曝光時,邊緣將具有比較大射擊更差之劑量限度。圖12示出了像素陣列1200,其中對於具有60nm之寬度之窄線端,像素劑量反映了被執行以改良劑量限度之線性校正。在此實例中,像素大小在X方向及Y方向兩者上均為10nm。根據該資料判定所計算邊緣1210,該所計算邊緣表示線端圖案。在此處,截斷超過1.0之任何劑量將導致具有更差之劑量限度的由1220指示之較小形狀。
相比之下,圖13繪示了根據一些實施例之可如何修改曝光資訊以維持圖案大小同時減少寫入時間並實現可接受之劑量限度。在該實例中,對於使用為0.9之目標PEC後最大劑量之實施例,圖13示出了由經修改之曝光資訊產生之PEC後劑量。圖12中之劑量限度優於圖13中之劑量限度;然而,與預定之臨限值劑量限度相比,圖12中之劑量限度可能比所需大得多。在圖13中,劑量限度亦優於臨限值劑量限度,但寫入時間比在圖12中短,因為劑量較小。圖13示出了所得到之像素陣列1300,該像素陣列將以低於最大劑量之像素劑量並且以足夠的劑量限度來實現由1310指示之大小。
如美國專利9,372,391「以可變圖案劑量使用帶電粒子束微影術形成圖案之方法及系統(Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage)」中所揭示,可使用優化技術來判定可在圖案之內部實現之最低劑量,該專利為本申請案之受讓人所有且以引用 方式併入本文。在一些實施例中,此等優化技術將包括諸如使用粒子束模擬來計算抗蝕劑對一組射擊之回應,以便根據特定參數判定該組射擊形成期望之圖案,諸如在預定容限內。注意,當為僅支援未指派之劑量射擊之帶電粒子束寫入器形成射擊時,可在圖案之內部區域中使用間隙以減少區域劑量。藉由模擬,特別係在製造容限之「角點情況」下,可預先判定具有較低劑量或間隙之設計,以安全地發射期望之形狀,同時減少寫入時間並改良邊緣坡度。類似地,可使用重疊之射擊來增加區域劑量。在美國專利7,754,401「使用可變形狀束微影術製造表面及積體電路之方法(Method for Manufacturing a Surface and Integrated Circuit Using Variable Shaped Beam Lithography)」中揭示了重疊射擊之使用,該專利為本申請案之受讓人所有且以引用方式併入本文。
圖14示出了針對PEC後最大劑量為1、0.9、0.8和0.75的劑量限度與圖案密度之關係之曲線圖。在該實施例中,可接受之劑量限度0.5由虛線1450表示。該曲線圖示出了,對於給定之圖案密度,在1.0(曲線1410)和0.9(曲線1420)下之PEC後最大劑量產生可接受之劑量限度(即,低於線1450),即使在較高之圖案密度下亦如此,這與PEC前正常劑量1.0(線1400)形成了對比,PEC前正常劑量1.0對於低於約0.3(即30%)之圖案密度超過了可接受劑量限度。亦即,在虛線1400所示之正常劑量下,劑量限度隨著圖案密度之增加而變差。為了解決控制劑量限度之這個問題,在一些實施例中,當選擇目標PEC後最大劑量時,將目標劑量限度連同圖案密度一起考慮在內。例如,圖14中所示之PEC後最大劑量之範圍示出了對於所有圖案密度,利用沿著線1420之為0.9之PEC後最大劑量至沿著線1410之為1.0之PEC後最大劑量,劑量限度保持充分低於如沿著虛線1450所指示之0.5。與PEC後最大劑量為1.0相比,PEC後最大劑量為0.9會使寫入時間減少10%。在沿著線1430之為0.8之較低PEC後最大劑量以及沿著線1440之為0.75之較低PEC後最大劑量下,對於低於30% 之圖案密度,劑量限度變差。因此,使用者可選擇0.9作為目標PEC後最大目標劑量以限制寫入時間,同時亦滿足目標劑量限度。
動態最大劑量與人工背景劑量
圖15係在添加人工背景劑量時劑量限度與圖案密度之關係之曲線圖。藉由在圖案密度低於某個臨限值(例如30%)之區域1510中添加人工背景劑量,可實現可接受之劑量限度,如圖15中所示。亦即,劑量限度在圖15之區域1510中係恒定的,這係對在圖14之相同區域中劑量限度與圖案密度之變化關係之改良。在一些實施例中,添加人工背景劑量以將局部圖案密度提高至期望之臨限值,例如30%,從而在具有充分劑量限度之情況下允許最大劑量最多減少25%。另外,計算PEC前最大劑量以提高臨界尺寸均勻性(CDU)和線邊緣粗糙度(LER),以及製造變化之彈性之其他度量。改良CDU和LER包括提高劑量限度和改良跨遮罩中之特徵之劑量限度之均勻性。在美國專利8,473,875「使用帶電粒子束微影術形成高準確度圖案之方法及系統(Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography)」中揭示了劑量限度(邊緣坡度)之增強,該專利為本申請案之受讓人所有且以引用方式併入本文。
在一些實施例中,預定之人工背景劑量(諸如在20%至30%之範圍內)被定義並應用於各處。定義了最小目標反向散射量或預定臨限值,例如30%。在一些實施例中,在對於PEC自然地沒有足夠的反向散射來減小劑量之情況下,藉由添加人工背景劑量以滿足最小反向散射量來增加周圍劑量。在一些實施例中,由人工背景劑量貢獻之額外反向散射可以或可以不被考慮在藉由預先計算由人工背景劑量貢獻之額外反向散射而要添加之人工背景劑量之量之內。本文所述實施例為實例,並且添加人工背景劑量之其他變化亦係可能的。在一些實施例中,最小反向散射量將具有固有限度,以計及由人工背景劑量產 生之額外反向散射。由於反向散射量在數學上表現為線性的,因此可獨立於任何給定區域中之射擊劑量而計算藉由人工背景劑量添加之反向散射量。在一些實施例中,總的遮罩區域將被細分為某一大小或某些大小之分區,並且為每個分區判定人工背景劑量,其中分區中之每一者在該分區內具有一個人工背景劑量,但不同之分區可能具有不同之人工背景劑量。通常,PEC按照粗柵格(例如50nm柵格或300nm柵格)計算。對於每個柵格或分區,皆計算PEC調整。在一些實施方案中,針對分區內之任何位置之PEC調整基於針對毗鄰分區之所計算之PEC調整來內插。在一些實施例中,對人工背景劑量之計算係基於與用於PEC之柵格相同之柵格完成的。在此實施方案中,將每個PEC柵格中之接收到之反向散射量與所指定之最小目標反向散射量進行比較,以判定為PEC柵格覆蓋之區域提供之人工背景劑量。在一些實施例中,對於個別分區內之任何位置之人工背景劑量跨該分區進行內插,諸如,內插係基於毗鄰分區之人工背景劑量。隨後之PEC步驟將減少所討論之射擊/像素之劑量,從而減少該射擊/像素之寫入時間。對遮罩或遮罩之一部分上之所有射擊/像素進行此操作可減少劑量,且因此減少遮罩之該部分之寫入時間。隨後之PEC步驟計及了人工背景劑量之添加及人工背景劑量所貢獻之任何額外反向散射。PEC調整遮罩上所有射擊之射擊劑量,以調整所有CD使其命中目標,其方式與PEC一直以來對自然反向散射之工作方式完全相同。經調整之射擊之劑量限度比添加人工背景劑量之前更差。但用戶為最小反向散射而調整之參數允許劑量限度保持在可接受之劑量限度之內,如針對特定遮罩過程所判定。
使用習知之VSB機器,投射任何種類之劑量(但劑量很小)皆需要單獨之射擊和遮蔽時間來分開射擊。由於遮蔽時間為通常與正常劑量下之曝光時間大致相同之時間量,因此在正常劑量下,發射時間之合理之一階近似值可被認為花費2個時間單位。以這種近似值,10%劑量之射擊將花費1.1個時間單 位。由於寫入時間對於優化遮罩之成本和良率兩者至關重要,並且由於已經看到複雜之前緣遮罩寫入時間太長,因此在沒有射擊之任何地方添加人工背景劑量在商業上係不可行的。然而,由於在VSB遮罩寫入器中通常為可變速度之遮罩載台很重,因此遮罩載台僅可逐漸改變速度,因此降低峰值劑量密度可幫助減少VSB機器之寫入時間。
特別係對於VSB機器,本揭示內容之一些實施例包括在遮蔽時間期間有目的地洩漏一些電子束能量,從而產生人工背景劑量。無需精確控制所洩漏電子束投射之確切位置。由於反向散射為10μm半徑範圍內之大規模效應,因此奈米級之位置控制並不重要。可以精確計算在遮蔽時間期間之洩漏量和洩漏時段。此計算可計算電子束行進之路徑,並避免將洩漏投射到需要精確控制之任何圖案邊緣附近(即,在組合之前向模糊內)。
用於最先進技術節點之表面寫入通常涉及多次帶電粒子束寫入,即稱為多次曝光之過程,從而對倍縮光罩上之給定形狀進行寫入和覆寫。通常,使用兩到四次來寫入倍縮光罩,以平均掉帶電粒子束寫入器中之精確度誤差,從而允許形成更精確之光罩。同樣通常,每次之射擊列表(包括劑量)皆係相同的。在多次曝光之一種變型中,射擊列表在各次曝光中可能會有所不同,但任何一次曝光中之射擊聯集皆覆蓋同一區域。多次寫入可以減少塗佈表面之抗蝕劑過熱。多次寫入亦可以平均掉帶電粒子束寫入器之隨機誤差。針對不同次曝光使用不同之射擊列表進行多次寫入亦可降低寫入過程中某些系統誤差之影響。在一些實施例中,在VSB和多次寫入中,僅一次或某些次寫入會投射人工背景劑量。換言之,在一些實施例中,該組原始曝光資訊包括多次曝光之資訊,並且其中僅在一次曝光中添加人工背景劑量。由於精確度對於人工背景劑量並不重要,因此這樣做係足夠的,並且藉由不影響所有遍次而節省了寫入時間。其他遍次具有減小之像素峰值劑量或減小之區域峰值劑量密度,而無需人工背 景劑量所需之額外寫入時間,諸如在圖10A中所示之實施例中。
在一些實施例中,相對隔離之圖案被人工背景劑量有目的地包圍,從而導致反向散射增加。PEC(即,對反向散射之校正)藉由減少像素或射擊劑量來實現,在曝光密度低之情況下減少得較少,而在曝光密度高之情況下減少得較多。然而,減少劑量會使劑量限度惡化。
可接受之劑量限度可藉由由劑量減少引起之大小變化量來判定。在一個實施例中,可使用較大的圖案及減小至可接受水平之劑量來發射以正常劑量曝光之隔離圖案,而無需添加人工背景劑量。
例如,在使用帶電粒子束微影術曝光表面上之重複圖案時,由於製造變化,如在最終製造之表面上所量測之每個圖案實例之大小將略有不同。大小變化量係基本之製造優化準則。太多之變化,尤其係對於最小大小之特徵,可能會導致完全不印刷該形狀,從而導致電路故障。製造變化,諸如線邊緣粗糙度和圓角化,亦將出現在表面之實際圖案中。另外,更大的大小變化轉變為電路效能之更大變化,從而導致需要更高之設計限度,這使得愈來愈難以設計出更快、更低功率之積體電路。該變化稱為臨界尺寸(CD)變化。較低之CD變化,具體而言跨遮罩之所有形狀之均勻之較低CD變化係合意的,並且指示製造變化將在最終製造之表面上產生相對小之大小變化。在較小規模上,高CD變化之影響可能會作為線邊緣粗糙度(LER)被觀察到。LER係由線邊緣之每個部分之製造方式略有不同所造成的,從而導致預期具有直邊緣之線中有些起伏。CD變化與劑量曲線在抗蝕劑臨限值處之坡度(稱為邊緣坡度)負相關。因此,邊緣坡度及其倒數(即劑量限度)為表面之粒子束寫入之關鍵優化因素。在本揭示內容中,邊緣坡度和劑量限度為可互換使用之術語。
在一些實施例中,方法包括透過使用滿足目標水平之邊緣坡度(亦即,「足夠好」之邊緣坡度)來建議適當量之人工背景劑量。由於製造變化之彈 性係一個統計概念,因此「足夠好」之邊緣坡度之含義並不係嚴格不等式之確切表達。在本發明方法之一些實施例中,判定其中邊緣坡度高於「足夠好」水平之人工背景劑量之量。例如,可以調諧特定之遮罩製造過程以產生在75%曝光密度區域中寫入100nm×2μm之線之可靠製造結果,從而產生大量之反向散射,因此在PEC後具有最少量之射擊/像素劑量,且因此具有相對淺之邊緣坡度。在一些實施例中,將PEC後之該線之邊緣坡度指定為「足夠好」。雖然將存在其他形狀,例如在75%密度區域中寫入40nm×200nm之空間,在這種情況下邊緣坡度更差,但遮罩製造過程可判定不「足夠好」但在經濟性、時間及製造可靠性之總體折衷方面仍可製造的邊緣坡度。對於本發明實施例,「足夠好」之邊緣坡度之功能係要指出,與製造變化之彈性之增值益處相比,比「足夠好」甚至更好之邊緣坡度要花費更多之時間來投射該劑量。由於習知之教示係使邊緣坡度最大化,因此將邊緣坡度減小到小於最佳水平(亦即,低於目標水平)係反直覺的。
在一些實施例中,PEC前最大劑量及人工背景劑量係自動計算的。在一個實施例中,可計算PEC前最大劑量及人工背景劑量以實現可接受之對比度水平(對比度降低)。在另一個實施例中,可計算PEC前最大劑量及人工背景劑量以實現低於目標最小劑量限度之劑量限度。可藉由計算預定反向散射區域中之預定圖案之預定邊緣位置處之劑量限度來判定最小可接受之劑量限度。
在一些實施例中,在PEC之前添加人工背景劑量以藉由在PEC期間減少劑量而減少寫入時間,其中在劑量減少情況下之邊緣坡度可能低於目標水平。在一些實施例中,目標或「足夠好」水平可藉由模擬出於生產目的而使用之在製造過程中已知之足夠好地工作之特徵之邊緣坡度來計算。例如,對於前緣光罩製造,當在PEC之前以正常劑量進行曝光時,會使被100nm寬間隔隔開之100nm寬導線之重複圖案穩定。在100nm之線和間隔圖案之脈絡中,對100 nm寬之線之邊緣坡度之模擬可被認為係「足夠好」之劑量限度。出於計算人工背景劑量之目的,100nm之線和間隔圖案在周圍區域中之曝光密度為50%。由50%之曝光密度產生之自然反向散射被計算為最小反向散射量。一個區域中已經存在之自然反向散射加上人工背景劑量之量之總和被均勻分佈,以使整個背景曝光達到在整個遮罩上均勻之最小反向散射。儘管50%之曝光密度可能不會在PEC後產生最差之可接受劑量限度,但可將其聲明為實現計算最小可接受劑量之量(從中計算人工背景劑量)之目的之良好實踐目標。
圖16係如本技術領域中已知之用於使用帶電粒子束微影術來製備諸如倍縮光罩或其他表面之表面之概念性流程圖1600。在第一步驟1602中,形成實體設計,諸如積體電路之實體設計。這可包括判定邏輯閘、電晶體、金屬層以及在實體設計(諸如積體電路之實體設計)中需要找到之其他項。接下來,在步驟1604中,為步驟1602之實體設計或在晶圓上期望之實體設計之一部分上判定光學鄰近校正(OPC),以形成遮罩設計1606。OPC計算在整個製造變化上最佳地生產期望之晶圓形狀所需要之遮罩形狀。OPC更改了實體設計,以補償由諸如光學繞射以及特徵與鄰近特徵之光學相互作用等效應引起之失真,從而產生遮罩設計1606。
遮罩製程校正(MPC)可視情況在遮罩設計1606上執行。MPC修改要寫入至倍縮光罩之圖案,以補償非線性效應,例如與習知光學微影遮罩中小於約100nm之圖案相關聯之效應。MPC亦可用於補償影響EUV遮罩之非線性效應。在本發明之一些實施例中,MPC可作為壓裂或其他遮罩資料準備(MDP)操作之一部分來執行。
在步驟1608中,執行遮罩資料準備(MDP)操作,該操作可包括壓裂操作、射擊放置操作、劑量指派操作或射擊順序優化。在用於多束遮罩寫入之一些實施例中,MDP步驟1608可包括生成複數個多束射擊,每個多束射擊包 括一或多個小束,其中判定劑量區域並且在每個劑量區域中向小束指派劑量。在一些實施例中,小束劑量可在劑量區域內變化。
在步驟1618中執行鄰近效應校正(PEC)改進,其中調整劑量以計及反向散射、霧化和負載效應,從而在步驟1620中利用經調整劑量產生曝光資訊。步驟1620之曝光資訊中之經調整劑量用於在遮罩寫入步驟1622中生成表面,該步驟使用帶電粒子束寫入器(諸如電子束寫入器系統)。取決於所使用之帶電粒子束寫入器之類型,PEC改進1618可以由帶電粒子束寫入器執行。遮罩寫入步驟1622可包括單次曝光或多次曝光。電子束寫入器系統使電子束穿過模板或孔板投影到表面上,以形成包括表面上之圖案之遮罩影像1624。然後,可在光學微影機中使用完成之表面,諸如倍縮光罩,如步驟1626中所示。
圖17示出了根據一些實施例之表示用於在表面上之區域中曝光圖案之方法之流程1700,其中計算並可應用動態最大劑量和人工背景劑量。在步驟1706中,輸入圖案之一組原始曝光資訊。在一些實施例中,該組原始曝光資訊為像素及其劑量之集合。在另一個實施例中,一組原始曝光資訊為一組形狀,可能包括曲線或完全非多邊形描述(如圓形或雲形曲線)。在又一個實施例中,該組原始曝光資訊為具有所指派或隱含之PEC前劑量之一組射擊(例如,VSB),或具有所指派或隱含之PEC前劑量之一組形狀(例如,多束寫入)。通常,步驟1706中之輸入為闡述形狀或劑量之任何內容。
在步驟1708中輸入PEC後最大劑量,其用作PEC之後的目標或期望最大劑量。在一些實施例中,步驟1708涉及輸入目標鄰近效應校正後最大劑量,其中目標PEC後最大劑量係基於最大寫入時間。在一些實施例中,亦輸入人工背景劑量之臨限值。在其他實施例中,輸入目標劑量限度並使用該目標劑量限度來判定目標PEC後最大劑量。亦即,目標劑量限度可以用作選擇PEC後最大劑量之因素,諸如用以實現低於目標劑量限度之劑量限度。在此類實施例 中,流程1700可包括以下步驟:計算要曝光之圖案之劑量限度,諸如計算預定反向散射區域中之預定圖案之預定邊緣位置處之目標最小劑量限度,其中PEC前最大劑量經判定以實現要曝光之圖案之劑量限度低於目標最小劑量限度。PEC後最大劑量、人工背景劑量臨限值及/或目標劑量限度可例如由用戶輸入。在又一個實施例中,PEC後最大劑量可基於期望之寫入時間來選擇,諸如用以與正常劑量相比將寫入時間減少一定量,或保持低於如由最大機器寫入時間所限制之臨限值。在另一個實施例中,PEC後最大劑量可基於特徵大小,例如最小特徵。在另一個實施例中,PEC後最大劑量可以基於可印刷性之其他度量或藉由某些其他方法。
在步驟1710中,基於該組原始曝光資訊判定(即,計算)局部圖案密度。在一個實施例中,在步驟1710中對局部圖案密度之計算包括針對PEC之初始判定,該初始判定產生反向散射之初始圖譜。PEC係一個迭代過程,其中在存在反向散射之情況下可調整劑量以印刷期望之大小。來自初始PEC判定之反向散射之初始圖譜為局部圖案密度之良好相對指示。亦即,可藉由計算反向散射之量來計算局部圖案密度。在一些實施例中,在步驟1710中識別出其中反向散射低於預定臨限值之區域。
在步驟1712中,基於步驟1708之目標PEC後最大劑量,動態地判定(即,計算)局部圖案密度之PEC前最大劑量。亦即,PEC前最大劑量為動態的,因為PEC前最大劑量可根據一組特定曝光資訊(局部圖案密度)、目標劑量限度、期望之最大寫入時間及/或其他目標參數而變化。在一些實施例中,針對各種區域圖案密度預先計算PEC前最大劑量,並且因此針對局部圖案密度供應PEC前最大劑量。在一些實施例中,針對局部圖案密度計算之PEC前最大劑量被輸入到線性校正演算法。
在步驟1716中,將原始曝光資訊修改為包括步驟1712之PEC前最 大劑量,以形成一組經修改之曝光資訊。在某些實施例中,該組經修改之曝光資訊藉由PEC進行改進,從而產生小於(即,不超過)步驟1708之PEC後最大劑量之經調整劑量。在一些實施例中,流程1700亦可包括利用該組經修改之曝光資訊對表面進行曝光。
在一些實施例中,計算包括在步驟1714中判定該區域之人工背景劑量,其中人工背景劑量包括額外之曝光資訊。在一些實施例中,在具有局部圖案密度之區域中執行步驟1714,其中在步驟1710中識別出低於預定臨限值之反向散射。在一些實施例中,流程1700包括基於一組原始曝光資訊來計算該區域之反向散射,並且步驟1714僅在所計算出之反向散射小於(即,低於)預定臨限值之情況下才添加人工背景劑量,亦即,人工背景劑量係預定臨限值與計算出之反向散射之間的差。在一些實施例中,在步驟1714中,針對該區域中之期望圖案計算劑量限度或邊緣坡度,並且判定人工背景劑量以為該區域中之期望圖案維持「足夠好」之邊緣坡度或對比度。在一些實施例中,步驟1714添加人工背景劑量以為該區域中之圖案維持滿足某一準則之「足夠好」之邊緣坡度,該準則諸如「足夠好」之邊緣坡度之最小標的大小。例如,用於SRAF之較小大小之形狀可免除「足夠好」之邊緣坡度。作為另一個實例,用於SRAF之較小大小之形狀可具有在判定要添加之人工背景劑量時要滿足的不同之「足夠好」邊緣坡度。在一些實施例中,此等計算可導致產生人工背景劑量,該人工背景劑量包括具有次臨限值曝光之額外圖案,使得額外圖案將不進行印刷。將額外圖案與該組經修改之曝光資訊進行組合。在一些實施例中,人工背景劑量僅在距表面上之圖案邊緣超過預定距離之外施加。在一些實施例中,該組原始曝光資訊包括用於多次曝光之資訊,並且僅在一次曝光中添加人工背景劑量。
在一些實施例中,PEC前最大劑量之計算及額外圖案之形成係作為MDP步驟(例如,圖16,流程1600之步驟1608)之一部分發生,其中額外圖 案在幾何形狀上與遮罩設計(例如,圖16,流程1600之步驟1606)之期望圖案重疊。可藉由鄰近效應校正(PEC)改進步驟(例如,圖16,流程1600之步驟1618)來讀取步驟1716中包含一組原始曝光資訊之一組經修改之曝光資訊,從而在步驟1716中產生經修改之曝光資訊,該組原始曝光資訊可使用PEC前最大劑量進行調整或者與額外曝光資訊(即,額外圖案)進行組合,該經修改之曝光資訊包括人工背景劑量並且亦可包括由人工背景劑量引起之反向散射。在一些實施例中,與該組原始曝光資訊相比,該組經修改之曝光資訊減少了總的寫入時間。在一些實施例中,該組經修改之曝光資訊藉由PEC進行改進,從而產生該組經修改之曝光資訊之經調整劑量。然後,使用該曝光資訊利用該組經修改之曝光資訊對表面進行曝光。在一些實施例中,像素或射擊劑量被修改以添加人工背景劑量。在一些實施例中,像素或射擊在其他空白區域(以零劑量開始之像素)中投射人工背景劑量,其中劑量之量對於像素相對相似,例如在3×3或更大數目之毗鄰像素中。
在一些實施例中,跟在圖16之步驟1618和1620之後的圖17之步驟1710、1712、1714和1716中之一些或全部可與圖16之流程1600之遮罩寫入步驟1622同時執行,其中進行線內處理以在曝光遮罩之同時執行資料調處。亦即,在一些實施例中,利用選自由以下各項組成之群組之一或多個步驟在線內執行遮罩曝光:判定(即,計算)局部(即,區域)圖案密度;判定該區域之PEC前最大劑量;計算反向散射;判定其中所計算出之反向散射低於預定臨限值之區域;判定人工背景劑量;以及形成該組經修改之曝光資訊。在其他實施例中,可在另一表面之曝光期間以管線方式執行計算。在管線系統中,在機器上寫入先前表面之同時,計算要在機器上寫入之下一個表面。若表面具有相似之寫入時間和計算時間,則管線系統可有效改良諸多表面之輸送量。線內(即時)系統可有效改良輸送量以及每個表面之轉回時間。
本發明方法可以離線使用、管線式使用或線內使用。最理想的係足夠快以能夠線內處理。線內處理係最理想的,特別係當需要寫入之總像素數目極大時。例如,對於用於遮罩之多束電子束寫入之半導體裝置製造,需要超過500 T位元組之資料來儲存所有像素資料。由於多束電子束機器需要極快速地寫入像素,因此將此資料儲存在硬碟或甚至固態磁碟上可能在成本上並不實際。在線內處理中,與在離線處理或管線處理中不同,不需要儲存資料,因為在計算出資料之後,機器會即刻消耗資料以寫入像素。這係本發明方法實現之線內處理有價值之另一個原因。如上文所提及,相同之方法可以用於調整像素劑量以改良劑量限度(即,邊緣坡度)。
本揭示內容中闡述之遮罩資料準備、最大劑量之計算、人工背景劑量之計算及鄰近效應校正可使用通用電腦、使用中央處理單元(CPU)與適當之電腦軟體作為計算裝置來實施。由於需要大量計算,因此亦可並行使用具有CPU之多個電腦或處理器核心。在一個實施例中,對於流程中之一或多個計算密集型步驟,可將計算細分為複數個二維幾何區域,以支援並行處理。在另一實施例中,可使用單獨使用或並聯使用之特殊用途硬體裝置來執行一或多個步驟之計算,其速度比使用一般用途電腦或處理器核心更快。專門之計算硬體裝置或處理器可包括例如場可程式化閘陣列(FPGA)、特殊應用積體電路(ASIC)或數位信號處理器(DSP)晶片。在一個實施例中,特殊用途硬體裝置可為圖形處理單元(GPU)。在另一個實施例中,在本揭示內容中闡述之優化及計算過程可包括修正和重新計算可能解之迭代過程,以便使總的帶電粒子束寫入時間或某一其他參數最小化。在又一個實施例中,該等過程可為判定性計算而無需迭代。
圖18示出了可用於執行本揭示內容中闡述之計算之計算硬體裝置1800之實例。計算硬體裝置1800包括中央處理單元(CPU)1802與附接之主記 憶體1804。CPU可包括例如八個處理核心,從而增強電腦軟體之為多執行緒之任何部分之效能。主記憶體1804之大小可為例如64G位元組。CPU 1802連接至高速周邊組件互連(PCIe)匯流排1820。圖形處理單元(GPU)1814亦連接至PCIe匯流排。在計算硬體裝置1800中,GPU 1814可連接或可不連接至諸如視訊監視器之圖形輸出裝置。若未連接至圖形輸出裝置,則GPU 1814可以純粹用作高速平行計算引擎。與將CPU 1802用於所有計算相比,藉由使用GPU進行部分計算,計算軟體可以獲得顯著更高之效能。CPU 1802經由PCIe匯流排1820與GPU 1814通信。在其他實施例(未示出)中,GPU 1814可與CPU 1802整合在一起,而非連接至PCIe匯流排1820。磁碟控制器1808亦可附接至PCIe匯流排,其中例如有兩個磁碟1810連接至磁碟控制器1808。最後,區域網路(LAN)控制器1812亦可附接至PCIe匯流排,並提供與其他電腦之十億位元乙太網路(GbE)連線性。在一些實施例中,電腦軟體及/或設計資料儲存在磁碟1810上。在其他實施例中,可以經由GbE乙太網路從其他電腦或檔案服務硬體存取電腦程式或設計資料,或者電腦程式及設計資料兩者。
雖然已經關於具體實施例詳細闡述了本說明書,但應理解,熟習此項技術者在理解了前述內容之後,可容易地想到此等實施例之變更、變化及等效物。熟習此項技術者可在不背離本標的物之範疇之情況下對用於遮罩資料準備及鄰近效應校正之本發明方法進行此等及其他修改和變化,本標的物之範疇在所附申請專利範圍中更具體地闡述。此外,熟習此項技術者應理解,前述說明僅為實例,且不意欲為限制性的。在不背離本發明之範疇之情況下,可向本說明書中之步驟添加步驟,從本說明書中之步驟中去除步驟,或對本說明書中之步驟進行修改。通常,所呈現之任何流程圖僅意欲指示實現功能之基本操作之一種可能順序,並且諸多變化係可能的。因此,本標的物意欲涵蓋屬於所附申請專利範圍及其等效物之範疇之此類修改及變化。
1700:流程
1706:步驟
1708:步驟
1710:步驟
1712:步驟
1714:步驟
1716:步驟

Claims (16)

  1. 一種使用一帶電粒子束系統在一表面上之一區域中曝光一圖案之方法,該方法包括:輸入該區域之一組原始曝光資訊;輸入一目標鄰近效應校正(PEC)後最大劑量,其中該目標PEC後最大劑量係基於一最大寫入時間;基於該組原始曝光資訊來判定該區域之一局部圖案密度;基於該目標PEC後最大劑量來判定針對該局部圖案密度之一PEC前最大劑量;及用該PEC前最大劑量修改該組原始曝光資訊,以產生一組經修改之曝光資訊。
  2. 一種使用一帶電粒子束系統在一表面上之一區域中曝光一圖案之方法,該方法包括:基於一組原始曝光資訊來判定該區域之一局部圖案密度;基於一預定目標鄰近效應校正(PEC)後最大劑量來判定針對該局部圖案密度之一PEC前最大劑量;以及用該PEC前最大劑量修改該組原始曝光資訊,以產生一組經修改之曝光資訊。
  3. 如請求項2之方法,其中該預定目標PEC後最大劑量係基於一最大寫入時間。
  4. 如請求項1或2之方法,其中藉由PEC改進該組經修改之曝光資訊,從而產生小於該目標PEC後最大劑量之經調整劑量。
  5. 如請求項1或2之方法,其進一步包括判定該區域之一人工背景劑量,其中該人工背景劑量包括具有一次臨限值曝光之一額外圖案,並且其 中將該額外圖案與該組經修改之曝光資訊進行組合。
  6. 如請求項5之方法,其中僅在距該表面上之該圖案之一邊緣超過一預定距離之外施加該人工背景劑量。
  7. 如請求項5之方法,其中該組原始曝光資訊包括多次曝光之資訊,並且其中僅在一次曝光中添加該人工背景劑量。
  8. 如請求項5之方法,其進一步包括基於該組原始曝光資訊來計算該區域之一反向散射,其中在計算出之反向散射小於一預定臨限值之區域中,該人工背景劑量為該預定臨限值與該計算出之反向散射之間的差。
  9. 如請求項5之方法,其中該區域被細分為多個分區,其中針對每個分區判定該人工背景劑量。
  10. 如請求項9之方法,其中對於個別分區內之任何位置之該人工背景劑量係跨該分區進行內插。
  11. 如請求項10之方法,其中該內插係基於毗鄰分區之該等人工背景劑量。
  12. 如請求項5之方法,其中利用選自由以下各項組成之群組之一或多個步驟在線內執行一遮罩曝光:判定該局部圖案密度;判定該PEC前最大劑量;判定該人工背景劑量;以及產生該組經修改之曝光資訊。
  13. 如請求項1或2之方法,其進一步包括輸入一目標劑量限度,其中該目標劑量限度用於判定該目標PEC後最大劑量。
  14. 如請求項1或2之方法,其進一步包括為待曝光之該圖案計算一劑量限度。
  15. 如請求項14之方法,其進一步包括計算一預定反向散射區域中之一預定圖案之一預定邊緣位置處之一目標最小劑量限度;其中判定該PEC前最大劑量以實現待曝光之該圖案之該劑量限度低於該 目標最小劑量限度。
  16. 如請求項1或2之方法,其進一步包括利用該組經修改之曝光資訊對該表面進行曝光。
TW109116592A 2019-05-24 2020-05-19 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統 TWI840559B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/422,269 2019-05-24
US16/422,269 US10748744B1 (en) 2019-05-24 2019-05-24 Method and system for determining a charged particle beam exposure for a local pattern density

Publications (2)

Publication Number Publication Date
TW202102933A TW202102933A (zh) 2021-01-16
TWI840559B true TWI840559B (zh) 2024-05-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160195805A1 (en) 2011-02-28 2016-07-07 D2S, Inc. Method and System for Design of Enhanced Edge Slope Patterns for Charged Particle Beam Lithography

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160195805A1 (en) 2011-02-28 2016-07-07 D2S, Inc. Method and System for Design of Enhanced Edge Slope Patterns for Charged Particle Beam Lithography

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US9038003B2 (en) Method and system for critical dimension uniformity using charged particle beam lithography
US9465297B2 (en) Method and system for forming patterns with charged particle beam lithography
US20190237299A1 (en) Method and system for forming a pattern on a surface using multi-beam charged particle beam lithography
US11592802B2 (en) Method and system of reducing charged particle beam write time
US11062878B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
JP2018006748A (ja) 表面上に書込む形状をバイアスするための方法およびシステム
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20230386784A1 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
TWI840559B (zh) 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統
US11886166B2 (en) Method and system of reducing charged particle beam write time