JP2022096615A - フォトレジスト下層組成物及びパターン形成方法 - Google Patents

フォトレジスト下層組成物及びパターン形成方法 Download PDF

Info

Publication number
JP2022096615A
JP2022096615A JP2021195039A JP2021195039A JP2022096615A JP 2022096615 A JP2022096615 A JP 2022096615A JP 2021195039 A JP2021195039 A JP 2021195039A JP 2021195039 A JP2021195039 A JP 2021195039A JP 2022096615 A JP2022096615 A JP 2022096615A
Authority
JP
Japan
Prior art keywords
optionally substituted
photoresist
photoresist underlayer
alkyl
aryl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021195039A
Other languages
English (en)
Inventor
ジョシュア・カイツ
Kaitz Joshua
マイケル・フィンチ
Finch Michael
ポール・ジェイ.・ラボーム
J Labeaume Paul
晋太郎 山田
Shintaro Yamada
スザンヌ・エム・コーレイ
M Coley Suzanne
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of JP2022096615A publication Critical patent/JP2022096615A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1039Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors comprising halogen-containing substituents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1818C13or longer chain (meth)acrylate, e.g. stearyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • C08G65/38Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols
    • C08G65/40Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols from phenols (I) and other compounds (II), e.g. OH-Ar-OH + X-Ar-X, where X is halogen atom, i.e. leaving group
    • C08G65/4012Other compound (II) containing a ketone group, e.g. X-Ar-C(=O)-Ar-X for polyetherketones
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • C08G65/38Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols
    • C08G65/40Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols from phenols (I) and other compounds (II), e.g. OH-Ar-OH + X-Ar-X, where X is halogen atom, i.e. leaving group
    • C08G65/4012Other compound (II) containing a ketone group, e.g. X-Ar-C(=O)-Ar-X for polyetherketones
    • C08G65/4031(I) or (II) containing nitrogen
    • C08G65/4037(I) or (II) containing nitrogen in ring structure, e.g. pyridine group
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • C08G65/38Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols
    • C08G65/40Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols from phenols (I) and other compounds (II), e.g. OH-Ar-OH + X-Ar-X, where X is halogen atom, i.e. leaving group
    • C08G65/4012Other compound (II) containing a ketone group, e.g. X-Ar-C(=O)-Ar-X for polyetherketones
    • C08G65/4043(I) or (II) containing oxygen other than as phenol or carbonyl group
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/0666Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms
    • C08G73/0672Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms with only one nitrogen atom in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1046Polyimides containing oxygen in the form of ether bonds in the main chain
    • C08G73/1053Polyimides containing oxygen in the form of ether bonds in the main chain with oxygen only in the tetracarboxylic moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1067Wholly aromatic polyimides, i.e. having both tetracarboxylic and diamino moieties aromatically bound
    • C08G73/1071Wholly aromatic polyimides containing oxygen in the form of ether bonds in the main chain
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L79/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen with or without oxygen or carbon only, not provided for in groups C08L61/00 - C08L77/00
    • C08L79/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C08L79/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C09D133/10Homopolymers or copolymers of methacrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D171/00Coating compositions based on polyethers obtained by reactions forming an ether link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D171/08Polyethers derived from hydroxy compounds or from their metallic derivatives
    • C09D171/10Polyethers derived from hydroxy compounds or from their metallic derivatives from phenols
    • C09D171/12Polyphenylene oxides
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • C23C16/20Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Formation Of Insulating Films (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【課題】フォトレジスト下層組成物及びパターン形成方法を提供する。【解決手段】基板表面上にフォトレジスト下層を形成することであって、フォトレジスト下層がポリマーと溶媒とを含有する組成物から形成され、フォトレジスト下層が47原子%を超える炭素含有量を有すること;フォトレジスト下層を金属前駆体に曝露することであって、金属前駆体がフォトレジスト下層の自由体積に浸透すること;及び金属前駆体で処理されたフォトレジスト下層を酸化剤に曝露して、メタライズされたフォトレジスト下層を得ること;を含む、基板上にパターンを形成する方法。【選択図】なし

Description

本発明は、概して、電子デバイスを製造する分野に関し、より具体的には、半導体製造において使用するための材料の分野に関する。
高いアスペクト比が望まれる場合には、多層レジストプロセス(3層及び4層プロセスなど)が考案されてきた。このような多層プロセスは、レジスト最上層、1つ以上の中間層、及び底層(又は下層)を使用する。このような多層レジストプロセスにおいて、最上フォトレジスト層は、典型的な方法で画像形成及び現像されてレジストパターンを提供する。パターンは、次いで典型的にはエッチングによって、1つ以上の中間層に転写される。それぞれの中間層は、パターン転写のための異なるプラズマエッチングなど、異なるエッチングプロセスを使用できるように、十分なエッチング選択性で選択される。最後に、パターンは、反応性イオンエッチング(RIE)などのエッチングによって下層に転写される。そのような中間層は、様々な材料から構成され得る。下層材料は、所望の反射防止特性、平坦化特性、及びエッチング選択性が得らえるように選択される。
フォトレジスト下層組成物、特にスピンオンカーボン(SOC)組成物は、集積回路製造用の最新のテクノロジーノードにおけるリソグラフィー用のエッチングマスクとして半導体産業で使用されている。これらの組成物は、有機物又はケイ素を含む反射防止膜とパターン化可能なフォトレジスト膜の層も完全な膜の積層体で使用される3層及び4層フォトレジストの集積化スキームでよく使用されている。
理想的なフォトレジスト下層材料は、特定の具体的な特徴を有する必要がある。すなわち、これはスピンコートプロセスによって基板上にキャストできる必要があり、加熱されると少ないガス放出及び昇華で熱硬化する必要があり、スピンボウルとの優れた適合性のために一般的な溶剤に可溶性である必要があり、フォトレジストイメージングに必要な低反射率を付与するための、反射防止コーティング層と共に機能するために適切な光学特性を有する必要があり、また後続の処理工程中の損傷を回避するために高い熱安定性を有する必要がある。これらの要件に加えて、理想的なフォトレジスト下層材料は、パターンを正確な形で基板に転写するために、基板上でのスピンコート及び熱硬化の後に、フォトレジスト下層膜の上下に位置する材料層に対するトポグラフィと十分なドライエッチング選択性とを平坦な膜に付与しなければならない。
半導体製造における主要なノードは、特に3D NANDメモリアーキテクチャの場合、非常に高いアスペクト比のフィーチャのパターン化を必要とするため、半導体製造業者は、エッチングマスクとして機能するスピンオンハードマスク層の技術的限界に達することが多い。3D NAND用途向けの高アスペクト比の接点を製造するために、製造業者は公知の材料と比較して更に改善された耐エッチング性を有するスピンオン材料を必要としている。この要求を満たすために、気相浸透法が開発された。これにより、金属前駆体が有機膜に注入され、その後金属酸化物へと酸化されて、有機-無機ハイブリッド膜が生成する。しかしながら、厚いSOCフィルムでは、例えば金属前駆体が拡散中に膜成分と相互作用して膜底部への拡散が妨げられる場合、金属浸透法はやや制限される可能性がある。したがって、膜の深さに対する金属の拡散は、本質的に膜のある地点でブロックされることになり、或いは浸透した金属前駆体の比較的急な濃度勾配で金属が浸透した膜になる。
大幅に改善されたエッチング選択性、特にO及びCFプラズマに対する改善された耐エッチング性を有する新規なフォトレジスト下層、及び例えば高アスペクト比のフィーチャを有する3D NANDメモリアーキテクチャ又は集積回路におけるそのような材料の使用に対する要求が依然として存在する。
Losego,M.D. et al.,Material Horizons 2017,4,747-71 McCutcheon’s Emulsifiers and Detergents,North American Edition for the Year 2000
基板表面上にフォトレジスト下層を形成することであって、フォトレジスト下層がポリマーと溶媒とを含有する組成物から形成され、フォトレジスト下層が47原子%を超える炭素含有量を有すること;
フォトレジスト下層を金属前駆体に曝露することであって、金属前駆体がフォトレジスト下層の自由体積に浸透すること;及び
金属前駆体で処理されたフォトレジスト下層を酸化剤に曝露して、メタライズされたフォトレジスト下層を得ること;
を含む、基板上にパターンを形成する方法。
以降で、その例が本明細書で示される例示的な実施形態を詳細に参照する。これに関連して、本例示的な実施形態は、異なる形態を有し得、本明細書に明記される記載に限定されると解釈されるべきではない。したがって、例示的な実施形態は、本記載の態様を説明するために、図に言及することによって以下に記載されるにすぎない。本明細書で使用される場合、用語「及び/又は」は、関連する列挙された項目の1つ以上のあらゆる組み合わせを包含する。「少なくとも1つ」などの表現は、要素のリストに先立つ場合、要素のリスト全体を修飾し、リストの個々の要素を修飾しない。
本明細書で用いる場合、用語「1つの(a)」、「1つの(an)」及び「その(the)」は、量の制限を意味せず、本明細書で特に示さないか又は文脈によって明らかに矛盾しない限り、単数形及び複数形の両方を包含すると解釈されるべきである。「又は」は、特に明記しない限り、「及び/又は」を意味する。本明細書で開示される全ての範囲は、終点を含み、終点は、独立して、互いに合体できる。接尾辞「(s)」は、それが修飾する用語の単数形及び複数形の両方を含み、それによってその用語の少なくとも1つを含むことを意図する。「任意選択的な」又は「任意選択的に」は、その後、記載される事象又は状況が起き得るか又は起き得ないこと並びに事象が起こる場合及び事象が起こらない場合をその記載が含むことを意味する。
第1、第2、第3等の用語は、様々な要素、成分、領域、層及び/又は区域を記述するために本明細書において使用され得るが、これらの要素、成分、領域、層及び/又は区域は、これらの用語によって限定されるべきでないことも理解されるであろう。これらの用語は、1つの要素、成分、領域、層又は区域を別の要素、成分、領域、層又は区域から区別するために用いられるにすぎない。したがって、以下で論じられる第1の要素、成分、領域、層又は区域は、本発明の教示から逸脱することなく第2の要素、成分、領域、層又は区域と称することができよう。
要素が別の要素「上」にあると言われる場合、それは、他の要素と直接に接触し得るか、又は介在要素がそれらの間に存在し得る。対照的に、要素が別の要素の「直接上に」あると言われる場合、介在要素は、存在しない。態様の記載される成分、要素、制限及び/又は特徴は、様々な態様において任意の好適な方法で組み合わされ得ることが理解されるべきである。
別に定義しない限り、本明細書で用いられる全ての用語(技術用語及び科学用語を含む)は、本発明が属する技術分野の当業者によって一般に理解されるものと同じ意味を有する。一般に使用される辞典において定義されるものなどの用語は、関連技術及び本開示との関連でのこれらの意味と一致する意味を有すると解釈されるべきであり、本明細書で明確にそのように定義しない限り、理想的な意味又は過度に形式的な意味で解釈されないことが更に理解されるであろう。
「ポリマー」という用語は、ホモポリマー、及び2種以上のモノマーから調製されたコポリマーを指すことが理解される。「ポリマー」という用語は、2種以上のポリマーの混合物も指す。ポリマーは、当該技術分野で公知の手順を用いて調製される。
本明細書で使用される「炭化水素基」という用語は、少なくとも1つの炭素原子及び少なくとも1つの水素原子を有し、また任意選択的に指定される場合に1つ以上の置換基で置換されている有機化合物を指し;「アルキル基」は指定された数の炭素原子を有する一価の直鎖又は分岐鎖飽和炭化水素を指し;「アルキレン基」は二価のアルキル基を指し;「ヒドロキシアルキル基」は少なくとも1つのヒドロキシル基(-OH)で置換されたアルキル基を指し;「アルコキシ基」は「アルキル-O-」を指し;「カルボン酸基」は式「-C(=O)-OH」を有する基を指し;「シクロアルキル基」は、全ての環構成原子が炭素である1つ以上の飽和環を有する一価の基を指す。シクロアルキル基の例としては、シクロペンチル基、1-メチルシクロペンチル、2-エチルシクロペンチル、シクロヘキシル基、1-エチルシクロヘキシル基、2-メチルシクロヘキシル基、1-アダマンチル基、2-アダマンチル基、又は2-メチル-2-アダマンチル基を挙げることができる。
「シクロアルキレン基」という用語は、二価のシクロアルキル基を指し;「アルケニル基」は少なくとも1つの炭素-炭素二重結合を有する直鎖又は分枝鎖の一価炭化水素基を指し;「アルケノキシ基」は「アルケニル-O-」を指し;「アルケニレン基」は少なくとも2の価数を有するアルケニル基を指し;「シクロアルケニル基」は少なくとも1つの炭素-炭素二重結合を有するシクロアルキル基を指し;「アルキニル基」は少なくとも1つの炭素-炭素三重結合を有する一価の炭化水素基を指す。
「芳香族基」という用語は、文献、特にIUPAC 19で定義される芳香族性の従来の考え方を表し、環に炭素原子を含み、任意選択的には環の中の炭素原子の代わりにN、O、及びSから独立して選択される1つ以上のヘテロ原子を含んでいてもよい単環式又は多環式の芳香族環系を指し;「アリール基」は、芳香族環の中に炭素原子のみを含む一価の単環式又は多環式の芳香族基を指し、これは少なくとも1つのシクロアルキル又はヘテロシクロアルキル環に縮合した芳香族環を有する基を含み得る。単環式又は多環式の芳香族環基は、単結合によって連結された2つ以上の単環式又は多環式の芳香族環を含み得る。
「アリーレン基」という用語は、少なくとも2の価数を有するアリール基を指し;「アルキルアリール基」はアルキル基で置換されたアリール基を指し;「アリールアルキル基」はアリール基で置換されたアルキル基を指し;「アリールオキシ基」は「アリール-O-」を指し;「アリールチオ基」は「アリール-S-」を指す。
接頭辞「ヘテロ」は、化合物又は基が、炭素原子の代わりに、ヘテロ原子である少なくとも1つの環構成原子(例えば、1、2、3又は4つ以上のヘテロ原子)を含むことを意味し、ここで、ヘテロ原子は、それぞれ独立して、N、O、S、Si又はPから選択され;「ヘテロ原子含有基」は、少なくとも1つのヘテロ原子を含む置換基を意味し;「ヘテロアルキル基」は、炭素原子の代わりに1~4つのヘテロ原子を有するアルキル基を意味し;「ヘテロシクロアルキル基」は、炭素原子の代わりに1つ以上のN、O、又はS原子を有するシクロアルキル基を意味し;「ヘテロシクロアルキレン基」は、少なくとも2の価数を有するヘテロシクロアルキル基を意味し;「ヘテロアリール基」は、炭素原子の代わりに、環構成原子として1つ以上のN、O、又はS原子を有する1~3個の別個の又は縮合した環を有するアリール基を意味し;「ヘテロアリーレン基」は、少なくとも2の価数のヘテロアリール基を意味する。
用語「ハロゲン」は、フッ素(フルオロ)、塩素(クロロ)、臭素(ブロモ)、又はヨウ素(ヨード)である一価置換基を意味する。接頭辞「ハロ」は、水素原子の代わりにフルオロ、クロロ、ブロモ、又はヨード置換基のうちの1つ以上を含む基を意味する。ハロ基の組み合わせ(例えば、ブロモ及びフルオロ)が存在していても或いはフルオロ基のみが存在していてもよい。
「置換された」は、指定された原子の通常の価数を超えないという条件で、基上の少なくとも1個の水素原子が別の基で置き換えられていることを意味する。置換基がオキソ(すなわち=O)である場合、炭素原子上の2つの水素が置き換えられている。置換基又は変数の組み合わせが許容される。「置換」位置に存在し得る例示的な基としては、ニトロ(-NO)、シアノ(-CN)、ヒドロキシル(-OH)、オキソ(=O)、アミノ(-NH)、モノ-若しくはジ-(C1~6)アルキルアミノ、アルカノイル(アシルなどのC2~6アルカノイル基など)、ホルミル(-C(=O)H)、カルボン酸若しくはそのアルカリ金属若しくはアンモニウム塩、C2~6アルキルエステル(-C(=O)O-アルキル若しくは-OC(=O)-アルキル)、C7~13アリールエステル(-C(=O)O-アリール若しくは-OC(=O)-アリール)、アミド(-C(=O)NR(式中、Rは水素若しくはC1~6アルキルである))、カルボキサミド(-CHC(=O)NR(式中、Rは水素若しくはC1~6アルキルである))、ハロゲン、チオール(-SH)、C1~6アルキルチオ(-S-アルキル)、チオシアノ(-SCN)、スルホネート(-SO )、C1~6アルキル、C2~10アルケニル、C2~10アルキニル、C1~6ハロアルキル、C1~9アルコキシ、C1~6ハロアルコキシ、C3~12シクロアルキル、C5~18シクロアルケニル、少なくとも1つの芳香族環(例えば、フェニル、ビフェニル、ナフチル若しくは同様のもの、それぞれの環は置換か無置換かのどちらかの芳香族)を有するC6~12アリール、1~3個の独立した環若しくは縮合環と6~18個の環炭素原子とを有するC7~19アリールアルキル、1~3個の独立した環若しくは縮合環と6~18個の環炭素原子とを有するアリールアルコキシ、C7~12アルキルアリール、C4~12ヘテロシクロアルキル、C3~12ヘテロアリール、C1~6アルキルスルホニル(-S(=O)-アルキル)、C6~12アリールスルホニル(-S(=O)-アリール)、又はトシル(CHSO-)が挙げられるが、それらに限定されない。更に、芳香族環の2つの隣接する炭素の置換基は、連結して縮合環を形成することができ、この縮合環は、芳香族環、シクロアルキル環、又はヘテロシクロアルキル環であってよい。基が置換されている場合、炭素原子の示されている数は、任意の置換基の炭素原子を除いた、基における炭素原子の総数である。例えば、基-CHCHCNは、シアノ基で置換されたCアルキル基である。
スピンオンカーボン(SOC)組成物、又はSOC組成物のポリマーは、以下の特性又は特徴を満たす必要がある。すなわち、これはスピンコートプロセスによって基板上にキャストできる必要があり、加熱されると少ないガス放出及び昇華で熱硬化する必要があり、スピンボウルとの優れた適合性のために一般的な溶媒に可溶性である必要があり、フォトレジストイメージングに必要な低反射率を付与するための、反射防止コーティング層と共に機能するために適切な光学特性を有する必要があり、又は後続の処理工程中に損傷を受けないように高い熱安定性を有する必要がある。更に、得られる硬化したフォトレジスト下層は、パターンを基板に正確に転写するために、フォトレジスト下層の上下に位置する材料層に対して十分なドライエッチング選択性を有する必要がある。
本発明者らは、ポリマーと溶媒とを含有するSOC組成物、及び基板上で47原子%を超える炭素含有量を有するメタライズされたフォトレジスト下層の形成について説明する。また、本発明者らは、金属前駆体がフォトレジスト下層に注入される金属浸透プロセスについても説明する。その後、注入された金属前駆体は、酸化されることで、フォトレジスト下層内にメタライズされた部位、例えば金属オキソ部位を形成して、メタライズされたフォトレジスト下層を生成する。したがって、上記SOC特性/特徴のうちの1つ以上に加えて、フォトレジスト下層は、より高度な耐エッチング性又は選択性を実現するために、及び場合によってはメタライズされたフォトレジスト下層の表面から金属前駆体の比較的浅い濃度勾配を実現するために、下層への十分な金属前駆体拡散を示す必要がある。
先進的なフォトレジスト下層材料の開発中に、本発明者らは、優れた耐エッチング性、例えば酸素プラズマRIE、フッ素化プラズマRIE、又はイオンビームエッチングによるスパッタリングに対する耐エッチング性を有するメタライズされたフォトレジスト下層を得るために、炭素含有量が47原子%を超えるフォトレジスト下層が効果的にメタライズされ得ることを観察した。メタライズされたフォトレジスト下層のフッ素化プラズマRIEに対する耐エッチング性の向上は特に興味深い。対照的に、同様の構造を有するポリマーを含み、同一の金属前駆体/酸化条件下でメタライズされているが、炭素含有量が47原子%未満であるフォトレジスト下層では、満足できる耐エッチング性及び選択性、例えばフッ素化プラズマRIEに対するエッチング耐性が得られず、そのため、本記載の方法において利用されるSOC組成物の耐エッチング性又は選択性の要件をおそらく満たさないであろう。
本発明者らは、基板上にパターンを形成する方法を説明する。この方法は、
基板表面上にフォトレジスト下層を形成することであって、フォトレジスト下層がポリマーと溶媒とを含有する組成物から形成され、フォトレジスト下層が47原子%を超える炭素含有量を有すること;
フォトレジスト下層を金属前駆体に曝露することであって、金属前駆体がフォトレジスト下層の自由体積に浸透すること;及び
金属前駆体で処理されたフォトレジスト下層を酸化剤に曝露して、メタライズされたフォトレジスト下層を得ること;
を含む。
上記方法の一実施形態では、フォトレジスト下層を金属前駆体に曝露する前に、方法は、
フォトレジスト下層上に反射防止コーティング層を形成し、反射防止コーティング層上にフォトレジスト層を形成すること;
フォトレジスト層を活性化放射に曝露し、曝露されたフォトレジスト層を現像してフォトレジストパターンを形成すること;及び
エッチングによってフォトレジストパターンを反射防止コーティング層及びフォトレジスト下層に転写すること;
を更に含む。
一実施形態では、フォトレジスト下層は、49原子%超、51原子%超、又は53原子%超の炭素含有量を有する。
一実施形態では、フォトレジスト下層は、65原子%未満、62原子%未満、又は60原子%未満の炭素含有量も有し得る。
一実施形態では、フォトレジスト下層は、47原子%超65原子%未満、47原子%超60原子%未満、51原子%超60原子%未満、又は53原子%超60原子%未満の範囲の炭素含有量を有する。
一実施形態では、フォトレジスト下層は、10原子%未満、9原子%未満、又は8原子%未満の酸素含有量を有する。フォトレジスト下層は、1原子%超又は4原子%超の酸素含有量も有し得る。
一実施形態では、フォトレジスト下層は、1原子%超10原子%未満、1.5原子%超8原子%未満、又は4原子%超10原子%未満の範囲の酸素含有量を有する。
フォトレジスト下層は、ポリアリーレン、ポリイミド、ポリ(アリールエーテル)、ポリ(アリールエーテルケトン)、ポリ(ベンゾオキサゾール)、ポリスルホン、ポリ(メタ)アクリレート、ポリビニル芳香族、ポリビニルエーテル、又はこれらの組み合わせから選択されるポリマーを含み得る。フォトレジスト下層は、ホモポリマー、コポリマー、又はホモポリマー及びコポリマーを含む2種以上のポリマーの混合物であってよい。例えば、SOC組成物は、2種以上のホモポリマー、2種以上のコポリマー、又は1種以上のホモポリマーと1種以上のコポリマーの混合物を含むことができる。SOC組成物のポリマーが2種以上のポリマーの混合物である場合には、得られるフォトレジスト下層は、47原子%を超える炭素含有量を有さなければならない。したがって、2種以上のポリマーの混合物としてであっても、フォトレジスト下層は、47原子%を超える、又は上記の炭素の原子パーセント範囲内の炭素含有量を必ず有することになる。
ポリマーは、ケト-カルボニル、エステル、ヒドロキシ、アセタール、ケタール、カルボン酸、アミド、カルバメート、尿素、カーボネート、アルデヒド、イミド、スルホン酸、スルホン酸エステル、又はこれらの組み合わせから選択される、側鎖上の官能基を含み得る。
ポリマー側鎖上の官能基は、前駆体が表面からフォトレジスト下層を通って拡散する際に、金属前駆体との結合又は非結合相互作用により生じるフォトレジスト下層のメタライゼーションの程度に関与する可能性が高い。言い換えると、ポリマー側鎖上の官能基は、フォトレジスト下層における金属前駆体の固定又は配置を促進することができ、そのため、官能基は、金属前駆体の酸化後のフォトレジスト下層内のメタライゼーション(金属部位)の濃度(又は濃度勾配)において一定の役割を果たすことができる。
フォトレジスト下層を金属前駆体に曝露することは、ガスとしての金属前駆体を含むキャリアガスにフォトレジスト下層を曝露すること、又は金属前駆体を含む溶液にフォトレジスト下層を曝露することを含み得る。したがって、キャリアガス中、蒸気として、又は溶液としてのいずれに関わらず、金属前駆体は、フォトレジスト下層の自由体積に浸透する。
金属前駆体で処理されたフォトレジスト下層を酸化剤に曝露すると、フォトレジスト下層に金属部位が存在することになる。例えば、金属前駆体で処理されたフォトレジストの曝露により、メタライズされたフォトレジスト下層に金属オキソ部位又は金属アミド部位が形成され得る。一実施形態では、金属オキソ部位又はアミド部位は、ポリマー官能基の酸素原子又は窒素原子への直接結合又は配位結合を含み得る。フォトレジスト下層のメタライゼーションの正確な化学的又は構造的結合は、メタライゼーション及び炭素含有量(すなわちフォトレジスト下層の炭素の原子%)の説明されるプロセス全体に重要ではない。場合によっては、メタライゼーションにより生じる金属部位の程度又は構造的なキャラクタリゼーションは、例えば当該技術分野で公知の方法を使用して、IR分光法を使用することによって分光学的に観察することができる。
一実施形態では、ポリマーは、式(1)のモノマー単位を含む重合単位を含む:
Figure 2022096615000001
(式中、Ar及びArは、独立して、連結基若しくは単結合によって接続されている2個~12個の任意選択的に置換されていてもよい芳香族環、縮合環系を形成している2個~12個の任意選択的に置換されていてもよい芳香族環、又は連結及び縮合している芳香族環である2個~12個の任意選択的に置換されていてもよい芳香族環の組み合わせを含み、連結基は、独立して、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、任意選択的に置換されていてもよいC2~4アルケニル、任意選択的に置換されていてもよいC2~4アルキニル、-(SiR-、-O[SiR(R)O]-、-SiO-、又は-P(O)R-であり;
は、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、-SiO-、又は-P(O)Rであり、各R、R、及びRは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリーレン、又は任意選択的に置換されていてもよいC3~10ヘテロアリーレンであり、mは1~4の整数である)。
一実施形態では、Ar及びArは、独立して、連結基若しくは単結合によって接続されている2個~8個、又は2~4個の任意選択的に置換されていてもよい芳香族環を含み、連結基は、独立して、-O-、-C(O)-、-N(R)-、又は-(CRから選択され、R、R、及びRは、独立して、水素、メチル、トリフルオロメチル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールである。
一実施形態では、Ar及びArは、独立して、フェニル、ビフェニル、テルフェニル、ナフチル、フルオレン、スピロ-フルオレン、又はカルバゾリルから選択することができ、これらのそれぞれは、Ar又はArの6員環の1~4個の環炭素において、任意選択的に置換されていてもよいC6~10アリール又は任意選択的に置換されていてもよいC3~10ヘテロアリールで任意選択的に置換されていてもよく、或いはAr又はArの2個の隣接する環炭素は、互いに連結して環を形成することができる。例えば、Arはテルフェニルであってよく、Arはビフェニルであってよく、Arは、1~3個の任意選択的に置換されていてもよいフェニル基で置換されており、Arは、1~3個の任意選択的に置換されていてもよいフェニル基で置換されている。
一実施形態では、Ar及びArは、独立して、連結基若しくは単結合によって接続されている2個~8個、又は2~4個の芳香族環を含み、連結基は、独立して、-O-、-C(O)-、-N(R)-、又は-(CRであり、R、R、及びRは、独立して、水素、メチル、トリフルオロメチル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールである。更に、Ar又はArのうちの少なくとも1つは、隣接する環炭素が連結して環を形成する5又は6員環を有する。この環は、芳香族環、シクロアルキル環、又はヘテロシクロアルキル環由来であってよい。例えば、ヘテロシクロアルキル環は、イミド窒素で任意選択的に置換されていてもよいベンゾジイミドを提供することができる。したがって、式(1)の化合物は、ポリマーの主鎖にポリイミド構造を付与するために、1つ以上のベンゾジイミド構造を含むことができる。
一実施形態では、Ar又はArのうちの少なくとも1つは、ケト-カルボニル、エーテル、エステル、ヒドロキシ、カルボン酸、アミド、イミド、スルホン酸、スルホン酸エステル、又はこれらの組み合わせから選択される官能基を含む。例えば、官能基は、Ar又はArのうちの少なくとも1つ、或いは炭素の環炭素に位置している、例えばAr又はArのうちの少なくとも1つの置換基の環炭素に位置している、ケト-カルボニル(例えばフェニルケトン)、ヒドロキシ、カルボン酸(例えば-C(O)OH、又はそれらのメチルエステル若しくはフェニルエステルであってよい。
一実施形態では、Ar又はArのうちの1つのみ、又はAr又はArのそれぞれの置換基が、ケト-カルボニル、エーテル、エステル、ヒドロキシ、カルボン酸、アミド、イミド、スルホン酸、スルホン酸エステル、又はこれらの組み合わせから選択される官能基を含む。例えば、官能基は、Ar又はArのうちの少なくとも1つ、或いは炭素の環炭素に位置している、例えばAr又はArのうちの少なくとも1つの置換基の環炭素に位置している、ケト-カルボニル、例えばフェニルケトン、ヒドロキシ、カルボン酸、例えば-C(O)OH、又はそれらのメチルエステル若しくはフェニルエステルであってよい。
一実施形態では、ポリマーは、式(2)のモノマー単位を含む重合単位を含む:
Figure 2022096615000002
(式中、A~A10のそれぞれは、独立して、水素、任意選択的に置換されていてもよいC1~10アルキル、任意選択的に置換されていてもよいC2~10アルケニル、任意選択的に置換されていてもよいC2~10アルキニル、任意選択的に置換されていてもよいC6~40アリール基、又はC3~40ヘテロアリール基であり;A~Aのうちの少なくとも1つ又はA~A10のうちの少なくとも1つは、各角括弧の中の線で示される通りに隣接するモノマー単位と連結しており;任意選択的には、2つの隣接するA~A、又は2つの隣接するA~A10は、連結して縮合環を形成しており;
は、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、-SiO-、又は-P(O)Rであり、各R、R、及びRは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり、mは1~4の整数である)。
一実施形態では、A~Aのうちの少なくとも1つ、及びA~A10のうちの少なくとも1つは、任意選択的に置換されていてもよいフェニル、任意選択的に置換されていてもよいビフェニル、任意選択的に置換されていてもよいテルフェニル、任意選択的に置換されていてもよいナフチル、任意選択的に置換されていてもよいアントラセニル、任意選択的に置換されていてもよいピリジル、任意選択的に置換されていてもよいフルオレン、任意選択的に置換されていてもよいスピロ-フルオレン、又は任意選択的に置換されていてもよいカルバゾリルである。
一実施形態では、A~Aうちの少なくとも1つ、又はA~A10うちの少なくとも1つは、ケト-カルボニル、エーテル、エステル、ヒドロキシ、カルボン酸、アミド、イミド、スルホン酸、スルホン酸エステル、又はこれらの組み合わせから選択される官能基を含む。例えば、官能基は、ケト-カルボニル(例えばフェニルケトン)、ヒドロキシ、カルボン酸(例えば-C(O)OH)又はそのメチルエステルであってよく、或いは官能基は、A~A、又はA~A10の置換基内に含まれていてもよい。
一実施形態では、A~Aのうちの1つのみ、又はA~A10のうちの1つのみが、ケト-カルボニル、エーテル、エステル、ヒドロキシ、カルボン酸、アミド、イミド、スルホン酸、スルホン酸エステル、又はこれらの組み合わせから選択される官能基を含む。例えば、官能基は、ケト-カルボニル(例えばフェニルケトン)、ヒドロキシ、カルボン酸(例えば-C(O)OH)、又はそのメチルエステルであってよく、或いは官能基は、A~A、又はA~A10の置換基内に含まれていてもよい。
一実施形態では、A~Aのうちの少なくとも1つ、又はA~A10のうちの少なくとも1つが、ポリマーの別のモノマー単位への連結基を含むことができる。例えば、そのような連結基としては、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、任意選択的に置換されていてもよいC2~4アルケニル、任意選択的に置換されていてもよいC2~4アルキニル、又は-(SiR-を挙げることができる。
一実施形態では、A~Aのうちの少なくとも1つ、又はA~A10のうちの少なくとも1つが、別の芳香族環への連結基を含むことができる芳香族環である。上述したように、A~A又はA~A10に連結している芳香族環としては、任意選択的に置換されていてもよいフェニル、任意選択的に置換されていてもよいビフェニル、任意選択的に置換されていてもよいテルフェニル、任意選択的に置換されていてもよいナフチル、任意選択的に置換されていてもよいアントラセニル、任意選択的に置換されていてもよいピリジル、任意選択的に置換されていてもよいフルオレン、任意選択的に置換されていてもよいスピロ-フルオレン、又は任意選択的に置換されていてもよいカルバゾリルを挙げることができる。例えば、そのような連結基としては、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、任意選択的に置換されていてもよいC2~4アルケニル、任意選択的に置換されていてもよいC2~4アルキニル、-(SiR-、-O[SiR(R)O]-、-SiO-、又は-P(O)R-を挙げることができる。更に、A~A、又はA~A10に連結されている芳香族環のうちの任意の1つ以上は、官能基を含み得る。例えば、官能基は、ケト-カルボニル(例えばフェニルケトン)、ヒドロキシ、カルボン酸(例えば-C(O)OH)又はそれらのメチルエステルであってよい。
一実施形態では、ポリマーは、式(3)のモノマー単位を含む重合単位を含む:
Figure 2022096615000003
(式中、A、A、A、A、A、A、A、及びA10は、上で定義した通りであり;各A11、各A12、及び各A13は、独立して、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC2~4アルケニル、任意選択的に置換されていてもよいC2~4アルキニル、任意選択的に置換されていてもよいC6~40アリール基、又はC3~40ヘテロアリール基であり、或いは、任意選択的には、A11、A12、及びA13のうちの少なくとも1つは、各角括弧の中の線で示される通りに隣接するモノマー単位と連結しており、或いは2つの隣接するA11、A12、及びA13は、連結して縮合環を形成しており;
o及びqは、独立して0~5の整数であり、pは0~4の整数である)。
一実施形態では、A、A、A、A、A、A、A、A10、A11、A12、及びA13のうちの少なくとも1つは、ケト-カルボニル、エーテル、エステル、ヒドロキシ、カルボン酸、アミド、イミド、スルホン酸、スルホン酸エステル、又はこれらの組み合わせから選択される官能基を含む。例えば、官能基は、ケト-カルボニル(例えばフェニルケトン)、ヒドロキシ、カルボン酸(例えば-C(O)OH)又はそのメチルエステルであってよく、或いは、官能基は、A、A、A、A、A、A、A、A10、A11、A12、及びA13の置換基の中に含まれていてもよい。
式(1)、(2)、又は(3)のモノマー単位は、イミド構造を含み得る。好ましくは、イミド構造は、重合されたモノマー単位の主鎖中に存在し、そのため、SOC組成物の得られるポリマー又はフォトレジスト下層は、当業者によってポリイミドとして認識され得る。
一実施形態では、ポリマーは、式(4)のモノマー単位の重合単位を含む:
Figure 2022096615000004
(式(4)において、
Gは、存在しないか、-(CHR-、-(CHRCHRO)-、-O-、-C(O)O-、-C(O)OR-、-C(O)-、-C(O)N(R)-、任意選択的に置換されていてもよいC6~14アリーレン、任意選択的に置換されていてもよいC3~13ヘテロアリーレン、又は任意選択的に置換されていてもよいC~C12シクロアルキレンであり;R、R、各R、及び各Rは、独立して、水素、任意選択的に置換されていてもよいC1~3アルキル、任意選択的に置換されていてもよいC6~14アリール、又は任意選択的に置換されていてもよいC3~13ヘテロアリールであり;nは1~6の整数であり、mは1~4の整数であり;
は、水素、任意選択的に置換されていてもよいC1~4アルキル、合計1~3個のエーテル、エステル、アミド、若しくは-C(O)-基を有する任意選択的に置換されていてもよいC1~8ヘテロアルキル、任意選択的に置換されていてもよいC2~4アルケニル、任意選択的に置換されていてもよいC2~4アルキニル、任意選択的に置換されていてもよいC6~14アリール、又は任意選択的に置換されていてもよいC3~12ヘテロアリールであり;
は、任意選択的に置換されていてもよいC1~4アルキレン又はC2~4アルキレンである)。
一実施形態では、Rは、無置換C6~14アリール、又は-R、-OR、-OC(O)R-、-C(O)OR、-C(O)N(R)R、若しくは-C(O)Rで置換されたC6~14アリール、無置換C3~12ヘテロアリール、又は-OR、-C(O)OR、-C(O)N(R)R、若しくは-C(O)Rで置換されたC3~12ヘテロアリールであり、Rは、水素、CN、任意選択的に置換されていてもよいC1~6アルキル、C2~4アルケニル、C2~4アルキニル、任意選択的に置換されていてもよいC6~14アリール、又は任意選択的に置換されていてもよいC3~13ヘテロアリールである。
一実施形態では、Gは、存在しないか、-O-、-C(O)O-、又は-C(O)OR-であり、Rは、フェニル、ナフチル、又はアントラセニルであり、これらのそれぞれは、-OR、-C(O)OR、-C(O)N(R)R、又は-C(O)Rで任意選択的に置換されていてもよく、R、R、及びRは上で定義した通りである。
一実施形態では、SOC組成物、したがってフォトレジスト下層は、2種以上のポリマーの混合物、例えば、式(1)、式(2)、又は式(3)のモノマー単位を含むポリマーと式(4)のモノマー単位を含むポリマーとの混合物を含むことができる。
一実施形態では、SOC組成物、したがってフォトレジスト下層は、ポリイミド又はポリ(アミド-イミド)(以降ではまとめてポリイミドと呼ぶ)を含むことができる。ポリイミドの製造は当該技術分野で周知であり、少なくとも1種のテトラカルボン酸、好ましくは芳香族テトラカルボン酸と、二無水物、好ましくは芳香族二無水物と、少なくとも1種のジアミン、好ましくは芳香族ジアミンと、少なくとも1種のジカルボン酸、好ましくは芳香族ジカルボン酸との反応を含む。例えば、芳香族ジアミンは、-O-、-S-、又は-C(=O)-を介して連結された2つ以上のアミノ-フェニレン基を含むジアミンを含み得る。
一実施形態では、フォトレジスト下層は、2種以上のポリマーの混合物、例えば、式(1)、式(2)、式(3)、又は式(4)のモノマー単位を含むポリマーとポリイミドとの混合物を含むことができる。
一実施形態では、ポリマーは、以下の式A~Eのうちの1つ以上によって表されるモノマー単位を含む:
Figure 2022096615000005
(式中、
及びLは、独立して、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、又は-SiO-であり、各R、R、及びRは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり、mは1~4の整数であり;
は、単結合又は任意選択的に置換されていてもよいC1~4アルキレンであり;
Dは、存在しないか、-O-、-C(O)O-、-C(O)-、-C(O)N(R)-、C6~14アリーレン、又はC3~13ヘテロアリーレンであり、R及びRは、独立して、水素、任意選択的に置換されていてもよいC1~3アルキル、任意選択的に置換されていてもよいC6~14アリール、又は任意選択的に置換されていてもよいC3~13ヘテロアリールであり;
各Rは、独立して、水素、-OH、-CN、ハロゲン、OR、-C(O)-R、-C(O)OR、-C(O)NR、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC2~10アルケニル、任意選択的に置換されていてもよいC2~10アルキニル、任意選択的に置換されていてもよいC6~14アリール、又は任意選択的に置換されていてもよいC5~14ヘテロアリールであり、Rは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC2~4アルケニル、任意選択的に置換されていてもよいC2~4アルキニル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり、s及びtは、独立して1、2、又は3の整数であり;Rのうちの少なくとも1つは、-C(O)-R、-C(O)OR、又は-C(O)NRであり、Rは、水素、任意選択的に置換されていてもよいC1~4アルキル、又は任意選択的に置換されていてもよいC6~10アリールであり;Rは、独立して、水素又は任意選択的に置換されていてもよいC1~4アルキルであり;
及びR10は、独立して、水素、-OH、-CN、ハロゲン、OR、-C(O)-R、-C(O)OR、-C(O)NR、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC5~10ヘテロアリールであり、Rは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり;
15は、単結合、任意選択的に置換されていてもよいC1~14アルキレン、任意選択的に置換されていてもよいC1~14シクロアルキレン、任意選択的に置換されていてもよいC~C20アリーレン、又は任意選択的に置換されていてもよいC~C20ヘテロアリーレンであり、これらのそれぞれは任意選択的に縮合環を含んでいてもよく;
16は、任意選択的に置換されていてもよいC~C20アリール基、又は任意選択的に置換されていてもよいC~C20ヘテロアリール基であり、これらのそれぞれは任意選択的に縮合環を含んでいてもよい。
一実施形態では、ポリマーは、ホモポリマーであるか、又は式(1)の1種以上のモノマー単位、式(2)の1種以上のモノマー単位、式(3)の1種以上のモノマー単位、若しくは式(4)の1種以上のモノマー重合した単位を含むコポリマーである。
一実施形態では、ポリマーは、式(1)の少なくとも2種の異なるモノマー単位、式(2)の少なくとも2種の異なるモノマー単位、式(3)の少なくとも2種の異なるモノマー単位、又は式(4)の少なくとも異なるモノマー単位の重合した単位を含むコポリマーである。当然、コポリマーは、式(1)の1種以上のモノマー単位、式(2)の1種以上のモノマー単位、式(3)の1種以上のモノマー単位、又は式(4)の1種以上の異なるモノマー単位の重合した単位の組み合わせも含み得ることを理解すべきである。更に、式(1)~(4)の1種以上のモノマー単位のそれぞれは、同じであっても、又は異なっていてもよい。
本発明者らは、ポリマー膜における金属前駆体の吸着、拡散、及び捕捉動力学に関与し得る金属前駆体又はポリマーマトリックスの4つの物理化学的特徴、すなわち(1)金属前駆体のサイズ及び形状、(2)ポリマーの自由体積、(3)自由体積のねじれ、並びに(4)前駆体とポリマー官能基との間の反応性又は配位;を特定する。(非特許文献1)を参照のこと。
塗布されたポリマー系材料をメタライゼーションするプロセスは公知であり、このプロセスは、当該技術分野では、例えば、「多重パルス浸透」(MPI)、「逐次浸透合成」、及び「逐次蒸気浸透」と呼ばれることがある。しかしながら、これらのプロセスのそれぞれは、金属前駆体を添加する順序によって区別されるに過ぎない。各プロセスは、塗布されたポリマーの中に金属前駆体分子を拡散させてから、ポリマー膜の中に前駆体を捕捉させることを要する。
一実施形態では、金属前駆体がキャリアガスの中に又は蒸気として存在する場合、送達パルス時間、保持時間、及びサイクル反復数は異なっていてもよく、これらのプロセスのそれぞれは、最終的に類似の、又は実質的に同じメタライズされたポリマー膜を生成する。したがって、本発明者らは、当該技術分野でこれまでに説明されている金属浸透プロセスのそれぞれを含めるために「気相浸透(VPI)」という用語を使用する。本明細書に記載のVPI処理の3つの工程は、典型的には、3つの作用モード:(1)塗布されたSOCポリマーへのガス状金属(通常は有機金属)前駆体の収着(又は溶解);(2)そのガス状金属前駆体のポリマーマトリックスへの輸送(拡散);及び(3)例えば、ポリマーの官能基との化学的又は物理的相互作用による、バルクポリマー内への金属前駆体の(例えば反応又は配位による)捕捉;を含む。気相浸透は、フォトレジスト下層の表面、表面下、又はバルクを、メタライズされていない下層と大きく異なる特性を備えた新しい有機-無機ハイブリッド材料へと変換する。
同様に、一実施形態では、金属前駆体が溶液中に存在する場合、送達パルス時間、保持時間、及びサイクル反復数は異なっていてもよく、本発明者らは、「液相浸透(LPI)」という用語を使用する。LPI処理の3つの工程は、典型的には、3つの作用モード:(1)塗布されたSOCポリマーへの金属(通常は有機金属)前駆体を含む溶液の収着;(2)その溶液金属前駆体のポリマーマトリックスへの輸送(拡散);及び(3)例えば、ポリマーの官能基との化学的又は物理的相互作用による、バルクポリマー内への金属前駆体の(例えば反応又は配位による)捕捉;を含む。液相浸透は、塗布されたSOC膜の表面、表面下、又はバルクを、大きく異なる特性を備えた新しい有機-無機ハイブリッド材料へと変換する。
メタライズされたポリマー膜は、プラズマエッチングにより高アスペクト比のナノ構造を生成するためのエッチングマスクとして使用される。例えば、フォトレジスト下層には、金属前駆体、例えばAl(Me)(TMA)が浸透し、これは、後に水(水蒸気)の存在下で金属酸化物構造へと酸化される。一実施形態では、堆積チャンバー内で、フォトレジスト下層を金属前駆体にさらしてから水に曝露する、交互の曝露を使用することができる。前駆体がフォトレジスト下層の中に拡散又は浸透することを可能にするために、金属前駆体に適切な曝露時間が使用される。金属前駆体と水との酸化反応を確実にするために、水に対する適切な曝露時間も使用される。
メタライゼーションプロセスでは、様々な異なる気体又は液体の金属前駆体を使用することができる。例示的な金属前駆体としては、ホウ素、アルミニウム、又はガリウムなどの第13族(IIIA)金属のトリアルキレート、トリハライド、又は混合アルキルハライド、例えばトリメチルアルミニウム;チタン、ジルコニウム、又はハフニウムなどの第4族(IVB)金属のテトラアルキレート、テトラハライド、又は混合アルキルハライド、例えばテトラアルキルチタン又はテトラハライドチタン、例えばTi(イソプロポキシド)又はTiCl;バナジウム、ニオブ、又はタンタルなどの第5族(VB)金属のトリアルキレート、トリハライド、又は混合アルキルハライド;クロム、モリブデン、又はタングステンなどの第6族(VIB)金属のトリハライド、又はヘキサハライド、又は混合アルキルハライドを挙げることができ;コバルト、ニッケル、銅、スズ、ゲルマニウム、又は亜鉛の金属アルキル、金属ハライド、又は混合金属アルキル/ハライドも使用することができる。
一実施形態では、金属前駆体は、アルミニウム、スズ、タングステン、チタン、モリブデン、ハフニウム、又はこれらの組み合わせから選択される金属を含む。
パターン化されたフォトレジスト下層へのメタライゼーションの深さは、金属前駆体の浸透工程中の反応チャンバー(すなわちフォトレジスト下層)の温度、金属前駆体、フォトレジスト層を蒸気又は液体に曝露する形式、及びフォトレジスト下層のポリマーによってある程度制御することができる。時には、パターン化されたフォトレジスト下層の側壁エッジ領域に浸透することが有利な場合もあり、したがって、パターン化された下層の大部分への浸透の量が制限される。他の場合には、フォトレジスト下層の大部分に浸透することが有利な場合がある。当然、曝露時間の程度又は量は、パターン化された基板の望まれるアスペクト比に依存することになる。例えば、所定の露光時間及びフォトレジスト下層について、比較的低い浸透温度では、主に側壁での浸透が生じ、それにより、フォトレジスト下層の大部分を金属前駆体にさらされない(又はメタライズされない)ままにすることができる。したがって、所定の露光時間及びフォトレジスト下層について、比較的高い浸透温度では、パターン化されたフォトレジスト下層の大部分にわたってより大きな侵入深さ及びより高い均一性のメタライゼーションが得られるであろう。
耐エッチング性の向上に加えて、本記載のメタライゼーションプロセスは、フォトレジスト下層から基板までの印刷パターンの品質を向上させ、維持する。更に、メタライゼーション後のフォトレジスト下層の著しい膨潤はほとんど見られない。
浸透した金属前駆体を金属酸化物、金属フッ化物、又は他の金属含有種に変換するために、メタライゼーションプロセスにおいて様々な異なる酸化剤を使用することができる。例示的な酸化剤としては、限定するものではないが、水、酸素、オゾン、六フッ化硫黄、フッ化水素、過酸化水素などを挙げることができる。
本発明のポリマーは、当該技術分野で公知の手順によって調製することができる。1つの適した手順は、式(1)、式(2)、式(3)、式(4)のうちの1種以上のモノマー単位、又はモノマー単位の任意の組み合わせを、適切な溶媒中で、熱のみの存在下で、又は加熱と共にフリーラジカル開始剤若しくはpTSAなどの酸の存在下で、重合することである。このようなポリマーは、そのまま使用されてもよく、或いは更に精製されてもよい。好ましくは、ポリマーは使用前に更に精製される。適切なポリマー精製手順は当業者に周知である。通常、本ポリマーは、ポリスチレン標準を使用するゲル浸透クロマトグラフィー(GPC)によって決定される、900~100,000g/モル、又は1,000~30,000g/モル、好ましくは2,000~20,000g/モルの範囲の重量平均分子量を有する。本ポリマーは、1~10、好ましくは1~5などの任意の適切な多分散度を有し得る。
フォトレジスト下層を形成するために有用な適切な組成物は、1種以上の上記ポリマーと、有機溶媒とを含み、任意選択的には、架橋剤、硬化剤、及び界面活性剤から選択される1種以上の添加剤を含む。当業者は、その他の添加剤が本組成物に適切に使用され得ることを理解するであろう。本発明の組成物は、ポリマーと、溶媒と、任意選択的な任意の添加剤を任意の順序で組み合わせることによって調製することができる。多くの場合、基板に塗布されるSOC組成物中のポリマーの量は、3重量%超、8重量%超、12重量%超、15重量%超、18重量%超、又は20重量%超であり、且つ60重量%未満、55重量%未満、50重量%未満、又は40重量%未満である。例えば、基材に塗布されるSOC組成物中のポリマーの量は、3重量%~50重量%、8重量%~40重量%、又は15重量%~40重量%の範囲である。SOC組成物中のポリマーの濃度は広範囲にわたって変化することができ、スピンオン技術によって堆積される任意の膜の厚さは、溶媒中のポリマーの濃度に依存することが当業者に理解されるであろう。
十分な量のポリマー反応生成物が溶媒又は溶媒混合物に可溶であることを条件として、任意の溶媒又は溶媒混合物をSOC組成物中で使用することができる。そのような溶媒としては、限定するものではないが、芳香族炭化水素、アルコール、ラクトン、エステル、エーテル、ケトン、アミド、カーボネート、グリコール、及びグリコールエーテルが挙げられる。有機溶媒の混合物が使用されてもよい。例示的な有機溶媒としては、限定するものではないが、トルエン、キシレン、アニソール、メシチレン、2-メチル-1-ブタノール、4-メチル-2-ペンタノール、メチルイソブチルカルビノール、ガンマ-ブチロラクトン、乳酸エチル、2-ヒドロキシイソ酪酸メチル、プロピレングリコールメチルエーテルアセテート(PGMEA)、プロピレングリコールメチルエーテル(PGME)、3-メトキシプロピオン酸メチル(MMP)、酢酸n-ブチル、N-メチルピロリドン、エトキシベンゼン、プロピオン酸ベンジル、安息香酸ベンジル、シクロヘキサノン、シクロペンタノン、炭酸プロピレン、クメン、リモネン、及びそれらの混合物が挙げられる。
任意選択的には、SOC組成物は、堆積されたポリマー反応生成物膜の硬化を助けるために、1種以上の硬化剤を更に含み得る。硬化剤は、基板の表面上でポリマーの硬化を引き起こす任意の成分である。好ましい硬化剤は、酸及び熱酸発生剤である。適切な酸は、p-トルエンスルホン酸などのアリールスルホン酸、メタンスルホン酸、エタンスルホン酸、及びプロパンスルホン酸などのアルキルスルホン酸、トリフルオロメタンスルホン酸などのパーフルオロアルキルスルホン酸、及びパーフルオロアリールスルホン酸を含むが、これらに限定されない。熱酸発生剤は、熱への曝露時に酸を遊離する任意の化合物である。熱酸発生剤は、当技術分野において周知であり、例えばKing Industries、Norwalk、Connecticutなどから、一般に市販されている。例示的な熱酸発生剤には、アミンブロック化強酸、例えば、アミンブロック化ドデシルベンゼンスルホン酸などのアミンブロック化スルホン酸が含まれるが、それらに限定されない。特定の光酸発生剤が加熱時に酸を遊離することができ、熱酸発生剤として機能し得ることもまた当業者によって十分理解されるであろう。本組成物に有用なそのような硬化剤の量は、当業者に周知であり、典型的には、全固形分に対して0~10重量%、好ましくは0~3重量%である。
SOC組成物は、以下の添加剤化合物C1及びT1のうちの1種以上を含み得る。SOC組成物は、Polyfox 656(F1)又はシクロヘキサノン(S1)のうちの1種以上を含み得る。実施例を参照のこと。
Figure 2022096615000006
上記化合物C1は、SOC組成物中に存在し得る架橋剤の例である。架橋剤は、酸性条件下などの適切な条件下でポリマーと反応することができる少なくとも2つ、好ましくは少なくとも3つの部位を有する。他の例示的な架橋剤には、ノボラック樹脂、エポキシ含有化合物、メラミン化合物、グアナミン化合物、イソシアネート含有化合物、ベンゾシクロブテン等、好ましくは、メチロール、C1~10アルコキシメチル、及びC2~10アシルオキシメチルから選択される置換基を2個以上、好ましくは3個以上、より好ましくは有する前述した任意のもののいずれかが含まれるが、それらに限定されない。本組成物において有用なそのような架橋剤の量は当業者に周知であり、典型的には、総固形分に対して0~20重量%、好ましくは5~15重量%である。
SOC組成物は、任意選択的に、1種以上の表面レベリング剤(又は界面活性剤)を含み得る。任意の適切な界面活性剤が使用され得るが、そのような界面活性剤は、典型的には非イオン性である。例示的な非イオン界面活性剤は、エチレンオキシ、プロピレンオキシ、又はエチレンオキシ結合とプロピレンオキシ結合との組み合わせなどの、アルキレンオキシ結合を含むものである。界面活性剤の更なる例には、シリコーン界面活性剤、又はフルオロケミカル界面活性剤が含まれる。適切な非イオン性界面活性剤としては、限定するものではないが、TRITON(登録商標)X-114、X-100、X-45、X-15などのオクチル及びノニルフェノールエトキシレート、並びにTERGITOL(商標)TMN-6(The Dow Chemical Company,Midland,Michigan USA)及びPF-656(Omnova Solutions,Beachwood,Ohio,USA)などの分岐二級アルコールエトキシレートが挙げられる。更に別の例示的な界面活性剤としては、アルコール(一級及び二級)エトキシレート、アミンエトキシレート、グルコシド、グルカミン、ポリエチレングリコール、ポリ(エチレングリコール-co-プロピレングリコール)、又はManufacturers Confectioners Publishing Co.of Glen Rock,N.J.により発行された(非特許文献2)に開示されている他の界面活性剤が挙げられる。本組成物において有用なそのような界面活性剤の量は当業者に周知であり、典型的には、総固形分に対して0~5重量%の範囲である。
別の実施形態では、基板上にパターンを形成する方法が説明される。方法は、以下の順序を含む:
基板表面上にフォトレジスト下層を形成することであって、フォトレジスト下層がポリマーと溶媒とを含有する組成物から形成され、フォトレジスト下層が47原子%を超える炭素含有量を有すること;
フォトレジスト下層を金属前駆体に曝露することであって、金属前駆体がフォトレジスト下層の自由体積に浸透すること;
金属前駆体で処理されたフォトレジスト下層を酸化剤に曝露して、メタライズされたフォトレジスト下層を得ること;
メタライズされたフォトレジスト下層の上に反射防止コーティング層を形成し、反射防止コーティング層の上にフォトレジスト層を形成すること;
フォトレジスト層を活性化放射に曝露し、曝露されたフォトレジスト層を現像してフォトレジストパターンを形成すること;及び
エッチングによってフォトレジストパターンを反射防止コーティング層及びフォトレジスト下層に転写すること。
上記実施形態では、フォトレジスト下層は金属前駆体に曝露され、次いで酸化剤に曝露されることで、メタライズされたフォトレジスト下層を提供する。その後、メタライズされたフォトレジスト下層上に反射防止コーティング層が形成され、続いて反射防止コーティング層上にフォトレジスト層が形成される。その後、フォトレジスト層は、当該技術分野で公知の方法を使用してパターン化され、そのパターンが、1つ以上のエッチングプロセスで反射防止コーティング層及びフォトレジスト下層に転写される。したがって、SOC組成物は、フォトレジスト下層膜の表面上に反射防止コーティング層、例えば、シリコンベースの酸化膜を形成すること、及び反射防止コーティング層に対してウェット又はドライエッチングを行うこと、を含む多層レジストプロセスで使用される。
SOC組成物は、スピンコーティングによって電子デバイス基板上に配置される。典型的なスピンコーティング方法において、本組成物は、基板上にSOC組成物、ひいては本明細書に記載のポリマーの所望の層を得るために、15~90秒の期間500~4000rpmの速度で回転している基板に塗布される。ポリマー層(ポリマーフォトレジスト下層)の高さが、スピン速度、並びにSOC組成物のポリマー固形分を変えることによって調整され得ることは、当業者によって十分理解されるであろう。
多種多様の基板がパターン形成方法において使用され得、電子デバイス基板が典型的である。好適な基板としては、例えば、マルチチップモジュールなどのパッケージング基板;フラットパネルディスプレー基板;集積回路基板;有機発光ダイオード(OLED)などの発光ダイオード(LED)用の基板;半導体ウェハー;多結晶シリコン基板等が挙げられる。好適な基板は、集積回路、光センサー、フラットパネルディスプレー、光集積回路、及びLEDの製造において使用されるものなどのウェハーの形態にあり得る。本明細書で用いるところでは、用語「半導体ウェハー」は、シングルチップウェハー、マルチプルチップウェハー、様々なレベルのためのパッケージ、又ははんだ接続を必要とする他のアセンブリなどの、「電子デバイス基板」、「半導体基板」、「半導体デバイス」、及び様々なレベルの相互接続のための様々なパッケージを包含することを意図する。そのような基板は、任意の好適なサイズであってもよい。典型的なウェハー基板直径は、200mm~300mmであるが、より小さい及びより大きい直径を有するウェハーが、本発明に従って好適に用いられ得る。本明細書で用いるところでは、用語「半導体基板」としては、半導体デバイスの有効部分又は動作可能部分を任意選択的に含み得る1つ以上の半導体層又は構造物を有する任意の基板が挙げられる。半導体デバイスは、少なくとも1つのマイクロ電子デバイスがその上にバッチ製造されたか又は製造されつつある半導体基板を意味する。
基板は、典型的には、シリコン、ポリシリコン、酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、シリコンゲルマニウム、ヒ化ガリウム、アルミニウム、サファイア、タングステン、チタン、チタン-タングステン、ニッケル、銅、及び金の1つ以上から構成される。基板の例としては、絶縁膜(例えば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、又はポリシロキサン)又は低誘電率絶縁膜(例えばBlack Diamond(AMAT製)、SiLK(Dow Chemical製)、又はLKD5109(JSR Corporation製)でコーティングされたウェハー)が挙げられる。トレンチやビアなどを有するパターン化された基板も使用することができる。
基板は、1つ以上の層及びパターン化されたフィーチャを含み得る。層は、例えば、アルミニウム、銅、モリブデン、タンタル、チタン、タングステン、そのような金属の合金、窒化物又はケイ化物、ドープされたアモルファスシリコン又はドープされたポリシリコンの層などの1つ以上の導電層、酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、又は金属酸化物の層などの1つ以上の誘電体層、単結晶シリコンなどの半導体層、及びそれらの組み合わせを含み得る。層は、様々な技術、例えば、プラズマ強化CVD(PECVD)、低圧CVD(LPCVD)若しくはエピタキシャル成長などの化学蒸着(CVD)、スパッタリング若しくは蒸発などの物理蒸着(PVD)、又は電気めっきなどによって形成することができる。
塗布されたフォトレジスト下層組成物は、あらゆる溶剤及び他の比較的揮発性の成分を組成物から除去するために、比較的低い温度で任意選択的にソフトベークされる。他の適切な温度を使用することもできるが、典型的なベーク温度は60℃~170℃とすることができる。残留溶剤を除去するためのそのようなベークは10秒~10分間行われるが、より長い時間又はより短い時間が適切に使用されてもよい。基板がウェハーである場合、このようなベーク工程は、ウェハーをホットプレート上で加熱することによって行われ得る。
SOC組成物から形成されたフォトレジスト下層は、典型的には10nm~50μm、典型的には25nm~30μm、より典型的には50~5000nmの乾燥層厚を有する。フォトレジスト下層組成物は、基板上の複数のギャップを実質的に埋めるために、好ましくは埋めるために、より好ましくは完全に埋めるために塗布することができる。
塗布されたフォトレジスト下層組成物は、その後硬化してフォトレジスト下層を形成する。フォトレジスト下層組成物は、フォトレジスト下層が、フォトレジスト下層上に直接配置されたフォトレジスト又は他の有機層若しくは無機層などの、その後に設けられる層と混ざり合わないか、又は最小限しか混ざり合わないように十分に硬化される必要がある。フォトレジスト下層組成物は、空気などの酸素含有雰囲気中で、又は窒素などの不活性雰囲気中で、且つ硬化したコーティング層を得るのに十分な加熱などの条件下で、硬化することができる。この硬化工程は、好ましくは、ホットプレート型装置上で行われるが、オーブン硬化が、同等の結果を得るために用いられ得る。硬化温度は、層全体を硬化させるのに十分である必要があり、例えば、遊離酸などの硬化剤が架橋をもたらすことを可能にするのに十分であるか、又は硬化剤が熱酸発生剤(TAG)である場合に熱酸発生剤が酸を遊離して遊離した酸が架橋をもたらすのを可能にするのに十分でなければならない。典型的には、硬化は、150℃以上、好ましくは150~450℃の温度で行われる。硬化温度は180℃以上、更により好ましくは200℃以上、更に一層好ましくは200~400℃であることがより好ましい。硬化時間は、典型的には10秒~10分、好ましくは30秒~5分、より好ましくは45秒~5分、更により好ましくは45~90秒である。任意選択的に、傾斜又は多段階硬化プロセスが用いられ得る。
傾斜ベークは、典型的には、比較的低い(例えば、周囲)温度で始まり、温度は、より高い標的温度まで一定の又は変化するランプ速度で上げられる。多段階硬化プロセスは、2つ以上の温度平坦域、典型的には、より低いベーク温度での第1段階及びより高い温度での1つ以上の追加の段階での硬化を含む。例えば、比較的低い温度で始まり、その後200~325℃の範囲に徐々に上昇する傾斜ベークが、許容できる結果を与え得る。第1段階が200℃未満のより低いベーク温度であり、第2段階が好ましくは200~400℃のより高いベーク温度である、2段階硬化プロセスを有することが、いくつかの場合には好ましくあり得る。2段階硬化プロセスは、既存の基材表面トポグラフィの一様な充填及び平坦化、例えばトレンチ及びビアの充填を容易にする。そのような傾斜又は多段階硬化プロセスのための条件は、当業者に公知であり、先行ソフトベークプロセスの省略を可能にし得る。
フォトレジスト下層組成物の硬化後、1つ以上の介在する層、例えば金属ハードマスク層などのハードマスク層、有機又は無機の底面反射防止コーティング(BARC)層などを、硬化したフォトレジスト下層の上に配置することができる。次いで、フォトレジスト層を、1つ以上の介在する層の上にあるフォトレジスト下層の上に形成することができる。この場合、上述したような1つ以上の介在する加工層は、フォトレジスト下層上に順次形成することができ、続いてフォトレジスト層を形成することができる。適切な層、厚さ、及びコーティング方法の決定は、当業者に周知である。
多種多様のフォトレジストを本発明の方法において適切に使用することができ、典型的にはこれはポジティブトーン材料である。適切なフォトレジストとしては、例えば、DuPont Electronics&Imaging(Marlborough,Massachusetts)から入手可能なフォトレジストのEPIC(商標)シリーズ内の材料が挙げられる。適切なフォトレジストは、ポジティブトーン現像レジストであっても、又はネガティブトーン現像レジストであってもよい。
例示的なBARC層としては、下層上にスピンコートされ、その後硬化が行われ得るシリコン-BARC、又は化学蒸着(CVD)によって下層の上に蒸着され得るSiON若しくはSiOなどの無機シリコン層が挙げられる。任意の適切なハードマスクを使用することができ、任意の適切な技術によって下層に蒸着することができ、また必要に応じて硬化することができる。任意選択的に、有機BARC層を、シリコン含有層又はハードマスク層に直接配置することができ、また適切に硬化することができる。次に、193nmリソグラフィーに使用されるものなどの、フォトレジストが、(3層プロセスでは)シリコン含有層上に直接配置されるか、又は(4層プロセスでは)有機BARC層上に直接配置される。フォトレジスト層は、次いで、パターン化された化学線を用いて画像形成され(露光され)、露光されたフォトレジスト層は、次いで、適切な現像液を使用して現像されてパターン化されたフォトレジスト層をもたらす。
パターンは、次に、例えばプラズマエッチングによってなどの、当技術分野において公知の適切なエッチング技術によって、フォトレジスト層からその直下の層に転写され、3層プロセスにおいてはパターン化されたシリコン含有層をもたらし、又は4層プロセスにおいてはパターン化された有機BARC層をもたらす。4層プロセスが用いられる場合、パターンは、次にプラズマエッチングなどの適切なパターン転写技術を用いて有機BARC層からシリコン含有層又はハードマスク層に転写される。
一実施形態では、反射防止層、例えば、シリコンベースの酸化膜、及びフォトレジスト下層膜は、続いてレジストパターンをマスクとして使用する連続的なドライエッチングを受ける。シリコンベースの酸化膜及びレジスト下層膜に対し、公知のドライエッチングシステムを使用してドライエッチングを行うことができる。ドライエッチングに使用されるソースガスとしては、酸素含有ガス(例えばO、CO、又はCO)、不活性ガス(例えばHe、N、又はAr)、塩素系ガス(例えばCl又はBCl)、フッ素系ガス(例えばCHF又はCF)、H、NHなどを挙げることができ、これらはエッチング対象の元素組成に応じて使用することができる。更に、これらのエッチングガスのうちの任意の2種以上が組み合わされて使用されてもよい。
別の実施形態では、シリコンベースの酸化膜は、例えばフッ化水素水溶液、フッ化水素酸ベースの緩衝液などを使用して、ウェットエッチングすることができる。フッ化水素酸ベースの緩衝液の例としては、フッ化水素水溶液とフッ化アンモニウム(弱アルカリ)との混合溶液が挙げられる。
シリコン含有層又はハードマスク層がパターン化された後、硬化したフォトレジスト下層は、次いでO又はCFプラズマなどの適切なエッチング技術を用いてパターン化される。あらゆる残りのパターン化されたフォトレジスト及び有機BARC層は、硬化した下層のエッチング中に取り除かれる。
一実施形態では、パターン化されたフォトレジスト下層は、その後、本明細書に記載の通り、キャリアガスを含む又は含まないガス(金属前駆体蒸気)として、又は金属前駆体を含む溶液としてのいずれかの金属前駆体に曝露される。この方法では、金属前駆体は、フォトレジスト下層の自由体積に浸透する。曝露の工程は、パターン化されたフォトレジスト下層にいかなる形でも付着していない金属前駆体をフォトレジスト下層から除去することができるパージ工程も含み得る。記載されているVPIプロセスの場合、パージサイクルは、フォトレジスト下層を部分真空下又は不活性ガス流下、或いはその両方で曝露することを含み得る。記載されているLPIプロセスの場合、パージサイクルは、金属溶液に含浸されたフォトレジスト下層に存在する溶媒のほとんどを除去するための、任意選択的な加熱を伴う部分真空下でのフォトレジスト下層の曝露を含み得る。フォトレジスト下層をガス又は液体金属前駆体に曝露する工程と、それに続く任意選択的なパージ工程は、1回以上繰り返すことができる。
パターン化されたフォトレジスト下層への金属前駆体の含浸に続いて、金属前駆体で処理されたフォトレジスト下層は、メタライズされたフォトレジスト下層を得るために本明細書に記載の通りに酸化剤に曝露される。フォトレジスト下層を酸化剤に曝露する工程は、1回以上繰り返すことができる。
フォトレジスト下層を金属ガス(蒸気)又は金属溶液のいずれかとしての金属前駆体に曝露し、続いて任意選択的なパージを行い、その後、浸透した金属前駆体を含むフォトレジスト下層を酸化剤に曝露し、続いて任意選択的にパージを行う工程は、メタライズされたフォトレジスト下層を得るための曝露/曝露サイクルとして1回以上繰り返すことができることを理解すべきである。
その後、パターンは、あらゆる残存するシリコン含有層又はハードマスク層も除去する適切なエッチング技術などによって基板に転写され、続いてあらゆる残存するパターン化された硬化した下層の除去が行われてパターン化された基板が得られる。
任意選択的には、1つ以上のバリア層がフォトレジスト層の上に配置されてもよい。適切なバリア層としては、トップコート層、トップ反射防止コーティング層(又はTARC層)などが挙げられる。好ましくは、液浸リソグラフィーを使用してフォトレジストをパターン化する場合にはトップコート層が使用される。そのようなトップコートは、当技術分野で周知であり、DuPont Electronics&Imagingから入手可能なOC(商標)2000など一般に市販されている。有機反射防止層がフォトレジスト層の下に使用される場合には、TARC層は不要であることが当業者には理解されるであろう。
本SOC組成物から形成されたフォトレジスト下層は、優れた平坦化、優れた耐溶剤性、及び調整可能なエッチング選択性を示す。本発明の好ましいフォトレジスト下層組成物は、結果として、様々な半導体製造プロセスにおいて有用な場合がある。
本発明の概念は、以下の実施例によって更に説明される。本明細書で使用される全ての化合物及び試薬は、手順が以下に与えられている場合を除いて、市販品である。
ゲル透過クロマトグラフィー(GPC)。ポリマーの数平均分子量及び重量平均分子量であるM及びM、並びに多分散度(PDI)の値(M/M)は、Agilent 1100シリーズ屈折率及びMiniDAWN光散乱検出器(Wyatt Technology Co.)を備えたAgilent 1100シリーズLCシステム上でのGPCによって測定される。サンプルは約10mg/mLの濃度でHPLCグレードのTHFに溶解され、0.45μmのシリンジフィルターを通して濾過された後、4本のShodexカラム(KF805、KF804、KF803、及びKF802)に注入される。1mL/分の流量及び35℃の温度が維持される。カラムは、狭い分子量のPS標準(EasiCal PS-2、Polymer Laboratories,Inc.)を使用して較正される。
実施例P1:ポリアリーレンエーテル
丸底フラスコに、50.0gの3,3’-(オキシジ-1,4-フェニレン)ビス(2,4,5-トリフェニルシクロペンタジエノン)(DPO-CPD)、11.35gの3,5-ジエチニルフェノール(DEP)、及び370.0gのガンマ-ブチロラクトン(GBL)を入れ、混合物を135℃で3時間加熱する。反応を室温まで冷却し、イソプロピルアルコール(IPA):水の4:1混合物5リットル(L)に添加し、30分間撹拌する。褐色の固体を真空濾過により回収する。固体を3Lの水の中で2時間撹拌する。スラリーを真空濾過により濾過し、65℃の真空オーブンで2日間乾燥させる。60gのP1を回収した。GPC分析から、Mが4970Daであり、PDIが1.8であることが示された。
実施例P2、実施例P3、及び実施例P4
実施例P2及び実施例P3のポリマーは、対応するそれぞれのモノマーが望みのポリマーを得るために適切なモル量で使用されることを除いて、実施例P1と同様の手順を用いて製造される。実施例P4は、PolyK Technologies(Matrimid 5218)から入手される。実施例P1、実施例P2、実施例P3、及び実施例P4のポリマーは表1に列挙され、まとめられている。
実施例P5:9-アントラセニルメチルメタクリレート/ヒドロキシアダマンチルメタクリレート
丸底フラスコに、12.0gの9-アントラセニルメチルメタクリレート、2.59gのヒドロキシアダマンチルメタクリレート、1.36gの2,2’-アゾビス(2,4-ジメチルバレロニトリル)(V-65)、及び35.0gのテトラヒドロフラン(THF)を入れる。反応混合物をN下で15分間撹拌しながらバブリングし、69℃まで温め、69℃で20時間撹拌したままにする。反応混合物を室温まで冷却し、800mLのメタノールに注ぎ入れて固体生成物を得る。生成物を濾過し、過剰のメタノールで洗浄し、4時間風乾し、50℃で更に20時間真空乾燥することで、ポリマーP5を得る。(14.6g、Mw=5790、PDI=2.2)。
比較例CP1は、対応するそれぞれのモノマーが望みのポリマーを得るために適切なモル量で使用されることを除いて、実施例P5と同様の手順で製造される。
比較例CP2:スチレン/4-アセトキシスチレン/ヒドロキシエチルアクリレート
丸底フラスコに、5.0gのスチレン、7.80gの4-アセトキシスチレン、2.82gのヒドロキシエチルアクリレート、1.41gの2,2’-アゾビス(2-メチルプロピオネート)(V-601)、及び35.0gのプロピレングリコールモノメチルエーテルアセテート(PGMEA)を入れる。反応混合物をN下で15分間撹拌しながらバブリングし、90℃まで温め、90℃で20時間撹拌したままにする。反応混合物を室温まで冷却し、体積基準で4:1のメタノール:水800mLの中に注ぎ入れ、固体生成物を得る。生成物を濾過し、過剰の4:1のメタノール/水で洗浄し、その後4時間風乾し、50℃で更に20時間真空乾燥することで、ポリマーCP-2を得る。(13.0g、Mw=8540、PDI=2.0)。
ポリマーCP3は、対応するそれぞれのモノマーが望みのポリマーを得るために適切なモル量で使用されることを除いて、比較例CP2と同様の手順で製造される。
Figure 2022096615000007
Figure 2022096615000008
実施例の配合物
フォトレジスト下層組成物は、表2に記載の他の添加剤及び溶媒(重量%の成分)の存在下、7.5重量%~20重量%の重量パーセントを有する表1に記載のポリマーを溶解してSOC組成物を形成することによって調製される。SOC組成物は、スピンコーティングの前に、0.2μmの超高分子量ポリエチレン(UPE)シリンジフィルターを通して濾過される。
Figure 2022096615000009
F1;PolyFox 656
溶媒S1;シクロヘキサノン
溶媒S2:プロピレングリコールモノメチルエーテルアセテート
Figure 2022096615000010
配合物1~4はコーティングされてから350℃/60秒でベークされる一方で、配合物5及びC1~C3はコーティングされてから205℃/60秒でベークされる。膜厚はエリプソメトリーで測定される。これは表4にまとめられている。
Figure 2022096615000011
金属前駆体浸透のプロセス
膜に対してそれぞれメタライゼーションプロセスを行い、それにより、それらは以下のプロセスに従って金属前駆体及び酸化剤に曝露される。硬化したフォトレジスト下層でコーティングされたウェハーは、150℃に加熱及び維持されているリアクターチャンバーに配置される。圧力が60mTorrで安定するまでNを2sccmで流し、次いでチャンバーを密閉して0.5秒間保持する。トリメチルアルミニウムガスをチャンバーに0.15秒間パルスで入れ、次いで60秒間待機する。次に、Nを20sccmで90秒間チャンバーに流し入れ、その後圧力が60mTorrで安定化するまで2sccmまで減らす。水をチャンバーに0.15秒間パルスで入れ、その後60秒間待機する。Nを20sccmで90秒間チャンバーに流し入れる。チャンバーを室温まで冷却し、ウェハーを取り出す。
耐エッチング性:
ポリマーのエッチング速度は、上述した通りにコーティング及びメタライズされた膜から決定される。Plasma-Therm700+シリーズエッチングツールを使用して、以下に示す条件を使用してバルク膜のドライエッチング速度が決定される。アルゴン/CF下でのエッチング前後の膜厚が測定され、エッチング速度が計算される。メタライズされた膜の耐エッチング性データは、以下の表4にまとめられている。
エッチング条件:
Figure 2022096615000012
Figure 2022096615000013
表4から分かるように、本発明のフォトレジスト下層膜は、比較の下層膜と比較して大幅に低いCFRIEエッチング速度を有し、メタライゼーション後の膨潤をほとんど又は実質的に示さない。したがって、メタライズされた膜の強化されたエッチング特性は、3D NAND用途向けの高アスペクト比のコンタクトホールをパターン化するために必要なより厳しい要件を提供することができる。したがって、本発明の方法は、メモリデバイスを製造するための半導体製造において利用することができる。
本開示は、実用的で例示的な実施形態であると現在考えられるものと併せて記載されてきたが、本発明は、開示された実施形態に限定されず、むしろ添付の特許請求の範囲の趣旨及び範囲内に含まれる様々な修正形態及び均等な構成を包含することを意図することが理解されるべきである。

Claims (10)

  1. 基板表面上にフォトレジスト下層を形成することであって、前記フォトレジスト下層がポリマーと溶媒とを含有する組成物から形成され、前記フォトレジスト下層が47原子%を超える炭素含有量を有すること;
    前記フォトレジスト下層を金属前駆体に曝露することであって、前記金属前駆体が前記フォトレジスト下層の自由体積に浸透すること;及び
    前記金属前駆体で処理されたフォトレジスト下層を酸化剤に曝露して、メタライズされたフォトレジスト下層を得ること;
    を含む、基板上へのパターン形成方法。
  2. 前記フォトレジスト下層を前記金属前駆体に曝露する前に、
    前記フォトレジスト下層上に反射防止コーティング層を形成し、前記反射防止コーティング層上にフォトレジスト層を形成すること;
    前記フォトレジスト層を活性化放射に曝露し、前記曝露されたフォトレジスト層を現像してフォトレジストパターンを形成すること;及び
    エッチングによって前記フォトレジストパターンを前記反射防止コーティング層及び前記フォトレジスト下層に転写すること;
    を更に含む、請求項1に記載の方法。
  3. 前記パターン化されたメタライズされたフォトレジスト下層にプラズマエッチング又はイオンビームエッチングを行って、前記パターンを前記基板に転写することを更に含む、請求項2に記載の方法。
  4. 前記フォトレジスト下層が47原子%超60原子%未満の炭素含有量を有する、請求項1~3のいずれか一項に記載の方法。
  5. 前記フォトレジスト下層が10原子%未満の酸素含有量を有する、請求項1~4のいずれか一項に記載の方法。
  6. 前記ポリマーが、ポリアリーレン、ポリイミド、ポリ(アリールエーテル)、ポリスルホン、ポリ(メタ)アクリレート、ポリビニル芳香族、又はこれらの組み合わせである、請求項1~5のいずれか一項に記載の方法。
  7. 前記ポリマーが、ケト-カルボニル、エーテル、エステル、ヒドロキシ、カルボン酸、アミド、カルバメート、尿素、カーボネート、アルデヒド、アセタール、ケタール、イミド、スルホン酸、スルホン酸エステル、又はこれらの組み合わせから選択される官能基を含む、請求項1~6のいずれか一項に記載の方法。
  8. 前記ポリマーが、式(1)のモノマー単位を含む重合単位を含む、請求項1~7のいずれか一項に記載の方法:
    Figure 2022096615000014
    (式中、Ar及びArは、独立して、連結基若しくは単結合によって接続されている2個~12個の任意選択的に置換されていてもよい芳香族環、縮合環系を形成している2個~12個の任意選択的に置換されていてもよい芳香族環、又は連結及び縮合している芳香族環である2個~12個の任意選択的に置換されていてもよい芳香族環の組み合わせを含み、前記連結基は、独立して、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、任意選択的に置換されていてもよいC2~4アルケニル、任意選択的に置換されていてもよいC2~4アルキニル、-(SiR-、-O[SiR(R)O]-、-SiO-、又は-P(O)R-であり;
    は、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、-SiO-、又は-P(O)Rであり、各R、R、及びRは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり、mは1~4の整数である)。
  9. 前記ポリマーが、式(2)のモノマー単位を含む重合単位を含む、請求項1~7のいずれか一項に記載の方法:
    Figure 2022096615000015
    (式中、A~A10のそれぞれは、独立して、水素、任意選択的に置換されていてもよいC1~10アルキル、任意選択的に置換されていてもよいC2~10アルケニル、任意選択的に置換されていてもよいC2~10アルキニル、任意選択的に置換されていてもよいC6~40アリール基、又はC3~40ヘテロアリール基であり;A~Aのうちの少なくとも1つ及びA~A10のうちの少なくとも1つは、各角括弧の中の線で示される通りに隣接するモノマー単位と連結しており;任意選択的には、2つの隣接するA~A、又は2つの隣接するA~A10は、連結して縮合環を形成しており;
    は、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、-SiO-、又は-P(O)Rであり、各R、R、及びRは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり、mは1~4の整数である)。
  10. 前記ポリマーが、以下の式A~Eのうちの1つ以上によって表されるモノマー単位を含む、請求項1~7のいずれか一項に記載の方法:
    Figure 2022096615000016
    (式中、
    及びLは、独立して、-O-、-C(O)-、-N(R)-、-SO-、-(CR-、-O[CR(R)O]-、-O[CR(R)CR(R)O]-、又は-SiO-であり、各R、R、及びRは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり、mは1~4の整数であり;
    は、単結合又は任意選択的に置換されていてもよいC1~4アルキレンであり;
    Dは、存在しないか、-O-、-C(O)O-、-C(O)-、-C(O)N(R)-、任意選択的に置換されていてもよいC6~14アリーレン、任意選択的に置換されていてもよいC3~13ヘテロアリーレン、又は任意選択的に置換されていてもよいC~C12シクロアルキレンであり;R及びRは、独立して、水素、任意選択的に置換されていてもよいC1~3アルキル、任意選択的に置換されていてもよいC6~14アリール、又は任意選択的に置換されていてもよいC3~13ヘテロアリールであり;
    各Rは、独立して、水素、-OH、-CN、ハロゲン、OR、-C(O)-R、-C(O)OR、-C(O)NR、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC2~10アルケニル、任意選択的に置換されていてもよいC2~10アルキニル、任意選択的に置換されていてもよいC6~14アリール、又は任意選択的に置換されていてもよいC3~14ヘテロアリールであり、Rは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC2~4アルケニル、任意選択的に置換されていてもよいC2~4アルキニル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり、s及びtは、独立して1、2、又は3の整数であり;Rのうちの少なくとも1つは、-C(O)-R、-C(O)OR、又は-C(O)NRであり、Rは、水素、任意選択的に置換されていてもよいC1~4アルキル、又は任意選択的に置換されていてもよいC6~10アリールであり;Rは、独立して、水素又は任意選択的に置換されていてもよいC1~4アルキルであり;
    及びR10は、独立して、水素、-OH、-CN、ハロゲン、OR、-C(O)-R、-C(O)OR、-C(O)NR、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC5~10ヘテロアリールであり、Rは、独立して、水素、任意選択的に置換されていてもよいC1~4アルキル、任意選択的に置換されていてもよいC6~10アリール、又は任意選択的に置換されていてもよいC3~10ヘテロアリールであり;
    15は、単結合、任意選択的に置換されていてもよいC1~14アルキレン、任意選択的に置換されていてもよいC1~14シクロアルキレン、任意選択的に置換されていてもよいC~C20アリーレン、又は任意選択的に置換されていてもよいC~C20ヘテロアリーレンであり、これらのそれぞれは任意選択的に縮合環を含んでいてもよく;
    16は、任意選択的に置換されていてもよいC~C20アリール基、又は任意選択的に置換されていてもよいC~C20ヘテロアリール基であり、これらのそれぞれは任意選択的に縮合環を含んでいてもよい)。

JP2021195039A 2020-12-17 2021-12-01 フォトレジスト下層組成物及びパターン形成方法 Pending JP2022096615A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/124,751 US20220197142A1 (en) 2020-12-17 2020-12-17 Photoresist underlayer compositions and patterning methods
US17/124,751 2020-12-17

Publications (1)

Publication Number Publication Date
JP2022096615A true JP2022096615A (ja) 2022-06-29

Family

ID=81992226

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021195039A Pending JP2022096615A (ja) 2020-12-17 2021-12-01 フォトレジスト下層組成物及びパターン形成方法

Country Status (5)

Country Link
US (1) US20220197142A1 (ja)
JP (1) JP2022096615A (ja)
KR (1) KR20220087370A (ja)
CN (1) CN114647152A (ja)
TW (1) TW202225847A (ja)

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001272797A (ja) * 2000-03-24 2001-10-05 Toshiba Corp パターン形成方法
WO2005081065A1 (ja) * 2004-02-20 2005-09-01 Nippon Soda Co., Ltd. 光感応性基体及びパターニング方法
JP2005537502A (ja) * 2002-06-25 2005-12-08 ブルーワー サイエンス アイ エヌ シー. マイクロエレクトロニクスの用途のための現像液に可溶なアルコキシド金属塗布膜
JP2010085893A (ja) * 2008-10-02 2010-04-15 Shin-Etsu Chemical Co Ltd 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
WO2012133597A1 (ja) * 2011-03-30 2012-10-04 Jsr株式会社 多層レジストプロセスパターン形成方法及び多層レジストプロセス用無機膜形成組成物
JP2012252323A (ja) * 2011-05-11 2012-12-20 Jsr Corp パターン形成方法及びレジスト下層膜形成用組成物
KR20130039864A (ko) * 2011-10-13 2013-04-23 주식회사 동진쎄미켐 방향족 고리 함유 고분자 및 이를 포함하는 레지스트 하층막 조성물
JP2014062253A (ja) * 2012-09-23 2014-04-10 Rohm & Haas Electronic Materials Llc ハードマスク
US20140319659A1 (en) * 2013-04-25 2014-10-30 Hyo-Young KWON Resist underlayer composition, method of forming patterns and semiconductor integrated circuit device including the patterns
WO2015037398A1 (ja) * 2013-09-11 2015-03-19 Jsr株式会社 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
WO2018173446A1 (ja) * 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
WO2019012716A1 (ja) * 2017-07-13 2019-01-17 王子ホールディングス株式会社 下層膜形成組成物、パターン形成方法及びパターン形成下層膜形成用コポリマー
JP2022096627A (ja) * 2020-12-17 2022-06-29 ローム アンド ハース エレクトロニック マテリアルズ エルエルシー フォトレジスト下層組成物及びパターン形成方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019054063A (ja) * 2017-09-13 2019-04-04 東芝メモリ株式会社 半導体装置の製造方法
JP7326077B2 (ja) * 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001272797A (ja) * 2000-03-24 2001-10-05 Toshiba Corp パターン形成方法
JP2005537502A (ja) * 2002-06-25 2005-12-08 ブルーワー サイエンス アイ エヌ シー. マイクロエレクトロニクスの用途のための現像液に可溶なアルコキシド金属塗布膜
WO2005081065A1 (ja) * 2004-02-20 2005-09-01 Nippon Soda Co., Ltd. 光感応性基体及びパターニング方法
JP2010085893A (ja) * 2008-10-02 2010-04-15 Shin-Etsu Chemical Co Ltd 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
WO2012133597A1 (ja) * 2011-03-30 2012-10-04 Jsr株式会社 多層レジストプロセスパターン形成方法及び多層レジストプロセス用無機膜形成組成物
JP2012252323A (ja) * 2011-05-11 2012-12-20 Jsr Corp パターン形成方法及びレジスト下層膜形成用組成物
KR20130039864A (ko) * 2011-10-13 2013-04-23 주식회사 동진쎄미켐 방향족 고리 함유 고분자 및 이를 포함하는 레지스트 하층막 조성물
JP2014062253A (ja) * 2012-09-23 2014-04-10 Rohm & Haas Electronic Materials Llc ハードマスク
US20140319659A1 (en) * 2013-04-25 2014-10-30 Hyo-Young KWON Resist underlayer composition, method of forming patterns and semiconductor integrated circuit device including the patterns
WO2015037398A1 (ja) * 2013-09-11 2015-03-19 Jsr株式会社 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
WO2018173446A1 (ja) * 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
WO2019012716A1 (ja) * 2017-07-13 2019-01-17 王子ホールディングス株式会社 下層膜形成組成物、パターン形成方法及びパターン形成下層膜形成用コポリマー
JP2022096627A (ja) * 2020-12-17 2022-06-29 ローム アンド ハース エレクトロニック マテリアルズ エルエルシー フォトレジスト下層組成物及びパターン形成方法

Also Published As

Publication number Publication date
CN114647152A (zh) 2022-06-21
TW202225847A (zh) 2022-07-01
US20220197142A1 (en) 2022-06-23
KR20220087370A (ko) 2022-06-24

Similar Documents

Publication Publication Date Title
KR102115078B1 (ko) 레지스트 하층막 재료, 패턴 형성 방법 및 레지스트 하층막 형성 방법
TWI603985B (zh) 光阻下層膜材料用聚合物、光阻下層膜材料及圖案形成方法
EP2981985B1 (en) Highly etch-resistant polymer block for use in block copolymers for directed self-assembly
KR101628423B1 (ko) 폴리실라잔을 사용하는 리버스 톤 상의 형성을 위한 하드마스크 공정
TWI573808B (zh) 經引導之自組裝圖案形成方法及組成物
US20180284615A1 (en) Resist underlayer film composition, patterning process, and method for forming resist underlayer film
US11518730B2 (en) Polymer compositions for self-assembly applications
TWI826475B (zh) 微影用膜形成材料、微影用膜形成用組成物、微影用下層膜及圖型形成方法
JP2022166033A (ja) レジスト下層組成物及び当該組成物を使用するパターン形成方法
EP3911688A1 (en) ENHANCED DIRECTED SELF-ASSEMBLY IN THE PRESENCE OF LOW Tg OLIGOMERS FOR PATTERN FORMATION
JP7277554B2 (ja) フォトレジスト下層組成物及びパターン形成方法
KR102607548B1 (ko) 오버코팅 포토레지스트와 함께 사용하기 위한 코팅 조성물
JP2022096615A (ja) フォトレジスト下層組成物及びパターン形成方法
KR102584764B1 (ko) 하층 조성물 및 패턴화 방법
KR102148772B1 (ko) 신규한 중합체, 이를 포함하는 하층막 형성용 조성물 및 이를 이용한 방법
JP7270006B2 (ja) フォトレジスト下層用のコーティング組成物
JP2009203437A (ja)
TW202112906A (zh) 微影用膜形成材料、微影用膜形成用組成物、微影用下層膜及圖型形成方法
JP2022097441A (ja) 接着促進フォトレジスト下層組成物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211228

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20220104

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230303

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230502

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230728