JP2021534584A - EUVリソグラフィのためのSnO2表面の修飾 - Google Patents

EUVリソグラフィのためのSnO2表面の修飾 Download PDF

Info

Publication number
JP2021534584A
JP2021534584A JP2021507642A JP2021507642A JP2021534584A JP 2021534584 A JP2021534584 A JP 2021534584A JP 2021507642 A JP2021507642 A JP 2021507642A JP 2021507642 A JP2021507642 A JP 2021507642A JP 2021534584 A JP2021534584 A JP 2021534584A
Authority
JP
Japan
Prior art keywords
sno
layer
photoresist
hydrophobic
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021507642A
Other languages
English (en)
Other versions
JP7401525B2 (ja
Inventor
シンガール・アキル
シャンマ・ナダー
オースティン・ダスティン・ザカリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021534584A publication Critical patent/JP2021534584A/ja
Application granted granted Critical
Publication of JP7401525B2 publication Critical patent/JP7401525B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1067Oxide
    • H01L2924/10677Tin dioxide [SnO2]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Luminescent Compositions (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【解決手段】SnO2層のEUVリソグラフィパターニングを改善する方法を提供する。1つの方法の実施形態は、SnO2層の表面を修飾するために、疎水性表面処理化合物を処理チャンバに導入することを含む。この修飾により、SnO2層の疎水性が高くなる。この方法はまた、スピンコーティングによってSnO2層の表面上にフォトレジスト層を堆積することを規定する。SnO2層の表面の修飾は、スピンコーティング中およびスピンコーティング後のフォトレジストとSnO2層との接触の接着性を強化する。【選択図】 図3

Description

本実施形態は、半導体基板処理方法および機器ツールに関し、より具体的には、SnO2の表面化学を修飾し、極端紫外線(EUV)リソグラフィ中のフォトレジストパターンの安定性およびプロセスウィンドウを強化するための方法およびシステムに関する。
集積回路(IC)などの半導体電子デバイスの製造には、回路パターンをマスクから基板上に転写するためのリソグラフィプロセスを伴うことが多い。デバイスの処理速度の高速化および高機能化に対する要求により、IC内のフィーチャは限界寸法(CD)を満たすようにさらなる小型化が必要とされている。現在、次世代テクノロジーノードに必要な限界寸法目標のニーズを達成するために、約13.5nmの放射波長を有する極端紫外線(EUV)リソグラフィが大量生産(HVM)用に開発されている。
HVM用に波長13.5nm以下のEUVリソグラフィを開発する際の課題は、線幅変動(LWV:line width variation)およびラインエッジラフネス(LER:line edge roughness)を改善してパターン崩壊を防ぎ、限界寸法を達成することである。EUVリソグラフィHVM用に限界寸法を達成するために改善を要する主要な領域の1つは、フォトレジスト(PR)の設計およびプロセスであり、これらはしばしばLWRおよびLERの原因となる。パターン崩壊を防ぐためにPR塗布プロセスを修正する方法はより高線量のEUV光を必要とするので、コストの増加とスループットの低下を招く。したがって、より多くの線量のEUV放射を必要とせずに限界寸法を達成することができる、PR塗布方法の改良が望まれている。
実施形態は、このような状況で生じるものである。
本実施形態は、SnO2層からの二次電子収集(secondary electron harvesting)を強化するためにフォトレジストをSnO2層上に直接塗布することを可能にするプロセスの改善、およびSnO2層上へのパターン転写解像度の改善に関する。本開示の実施形態は、フォトレジストの接着性を強化し、接着剤の介在層なしにフォトレジストをSnO2層上に直接塗布することを可能にするために、SnO2層の表面化学をより疎水性に修飾するための方法およびシステムを提供する。本実施形態は、方法、装置、システム、デバイス、またはコンピュータ可読媒体上のコンピュータプログラムなど、多数の様式で実現できることを理解されたい。いくつかの実施形態を、以下に説明する。
一実施形態では、方法は、疎水性表面処理化合物を処理チャンバに導入することを含む。処理チャンバは、処理用のSnO2層内に基板を含む。疎水性表面処理化合物は、SnO2層の表面に、その表面の疎水性を高める修飾を形成する。この方法はまた、スピンコーティングによってSnO2層の表面上にフォトレジスト層を堆積することを規定する。SnO2層の表面の修飾は、スピンコーティング中のフォトレジストとSnO2層との接触の接着性を強化する。
一実施形態では、方法は、処理チャンバ内の基板上にSnOx層を堆積する動作を含み、SnOx層の表面は、親水性である。この方法は、SnOx層の表面を疎水性表面処理化合物と反応させ、表面の親水性を低下させるための動作を含む。この方法はまた、SnOx層上にフォトレジストをスピンコーティングすることを規定し、疎水性表面処理化合物と反応して親水性が低下したSnOx層の表面は、フォトレジストとSnOx層が直接接触するように、フォトレジストへのSnOx層の接着性を向上させる。
一実施形態では、基板が提供される。基板は、化学的に修飾された表面を有するSnOx層を含み、化学的に修飾された表面は、疎水性表面処理化合物の単層を含む。基板はまた、SnOx層の化学的に修飾された表面に接触するフォトレジスト層を含む。
これらおよび他の利点は、明細書全体および特許請求の範囲を読むと当業者によって理解されるであろう。
実施形態は、添付の図面と併せて以下の説明を参照することによって、最もよく理解することができる。
図1は、一実施形態による、EUVリソグラフィシステムにおいてマスクまたはレチクルを通してEUV放射に曝露されるスタックの概念図であり、スタックは、フォトレジストとSnO2層との間に接着剤の介在層を含む。
図2は、一実施形態による、EUVリソグラフィシステムにおいてマスクまたはレチクルを通してEUV放射に曝露されるスタックの概念図であり、フォトレジストは、未修飾のSnO2層に直接塗布される。
図3は、一実施形態による、EUVリソグラフィシステムにおいてマスクまたはレチクルを通してEUV放射に曝露されるスタックの概念図であり、フォトレジストは、疎水性表面処理で修飾されたSnO2層に直接塗布される。
図4Aは、一実施形態による、基板上に存在するSnO2層に疎水性表面処理を塗布するために使用されるチャンバの概念図である。
図4Bは、一実施形態による、疎水性表面処理を有するSnO2層上にフォトレジストの層を塗布するプロセスにおけるフォトレジストスピンコータを示す概念図である。
図5Aは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Bは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Cは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Dは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Eは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Fは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Gは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Hは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。
図6Aは、特定の実施形態による、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示す図である。 図6Bは、特定の実施形態による、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示す図である。 図6Cは、特定の実施形態による、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示す図である。 図6Dは、特定の実施形態による、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示す図である。
図7Aは、一実施形態による、およそ18nmピッチの線パターンでエッチングされたSnO2層の走査型電子顕微鏡(SEM)画像を示す図である。 図7Bは、一実施形態による、およそ18nmピッチの線パターンでエッチングされたSnO2層の走査型電子顕微鏡(SEM)画像を示す図である。
以下の詳細な説明は、例示の目的で多くの具体的な詳細を含むが、当業者は、以下の詳細に対する多くの変形および変更が本開示の範囲内にあることを理解するであろう。したがって、以下に説明する本開示の態様は、この説明に続く特許請求の範囲に対して一般性を失うことなく、また制限を課すことなく記載されている。
SnOx層(例えば、xは1〜8以上である)は、様々な用途の基板処理で使用されると考えられる。本開示は、SnOxyの堆積層(n=1〜8以上であり、yは堆積プロセスに応じて変化する)に適用されることを理解されたい。典型的には、SnOx膜における酸素対スズの比は、様々な堆積パラメータに応じて約2:1となる可能性がある。したがって、以下の詳細な説明の多くは、酸化スズ膜および酸化スズ層の非限定的な例示的化学構造としてSnO2を使用する。しかし、これは例示が目的であり、本開示の利点をSnO2に制限する意図はない。当業者は、ここに提示および説明される利点が、SnO、SnO2、SnO3、SnO4、SnO6、SnO8などを含む酸化スズの多くの化学量論および酸化状態に適用されることを容易に認識するであろう。
いくつかの実施形態では、SnO2層の用途には、極端紫外線(EUV)ハードマスク(HM)として、パターニング用のスペーサおよびマンドレルコアとして、ギャップフィル酸化物として、ハードマスクとして、ならびにエッチング停止層としての使用が考えられる。SnO2膜は、いくつかの方法で基板上に堆積することができる。1つの化学気相堆積(CVD)プロセスでは、基板は、原子状酸素の存在下でスズを含む気相反応物(例えば、Sn(CH34、SnCl4、Snなど)に曝露され、基板上にSnO2の層を形成する。加えて、SnO2膜は、原子層堆積(ALD)によって堆積することができる。
SnO2をパターニングできる1つの方法は、EUVリソグラフィを使用することである。フォトレジストは、EUV放射への曝露のためにSnO2層の上に塗布される。EUV放射中、マスクまたはレチクルを使用して、所望のパターンをフォトレジスト上に転写する。124nm〜10nmの範囲のEUV光(10eV〜124eVの光子エネルギーに対応する)を使用して、フォトレジストおよびその下のSnO2層を照射する。いくつかの実施形態では、5nmまでの波長など、beyond ultraviolet light(BEUVL)を使用してもよい。EUV光子がフォトレジスト内の分子によって吸収されると、光酸発生剤の光解離を引き起こして光酸を発生させる可能性があり、これがさらなる反応を引き起こしてフォトレジストを現像剤溶液(通常はアルカリ性溶液)に可溶にする。
光酸が生成される別のメカニズムは、EUV光子の吸収による光電子の生成によるものである。このようにして生成された光電子(例えば、一次電子)は、フォトレジストポリマーマトリックスまたはSnO2結晶マトリックス内でランダムに散乱する。散乱してエネルギーを失う過程で、光電子は、一般にフォトレジストまたはSnO2内のいくつかの分子をイオン化する。光電子によって引き起こされるこれらのイオン化イベントによって二次電子が生成され、これが光酸生成につながる。フォトレジスト内のポリマーは酸に化学的に敏感であり、光酸が生成されるフォトレジスト内の領域が可溶性になり、現像剤溶液によって除去可能になる。二次電子の生成は、光酸生成の主な原因であることが見出されている。
SnO2は、EUV光の強力な吸収剤である。EUV光子がSnO2によって吸収されると、光電子が発生する。光電子は、約50eV以下〜約100eV以上のエネルギーを有し、ランダムな方向に散乱することが観察されている。結果として、これらの光電子の一部はSnO2層からフォトレジスト層に伝播し、その途中でイオン化イベントを経て二次電子を生成する。任意の所与の光電子に対して、いくつかの二次電子が生成される場合があり、これらも確率論的に伝播する。二次電子がSnO2層からフォトレジストに伝播すると、光酸発生剤(PAG)中でイオン化イベントが発生する。得られた酸は、酸に不安定な保護基(例えば、フォトレジストの不溶性を誘発する基)を攻撃し、典型的には、アルカリ性溶液(例えば、現像剤)に可溶なヒドロキシル基で保護基を置き換える。
光電子と二次電子の両方は、そのエネルギーに依存する有限の平均自由行程を有する。例えば、各イオン化イベントは、追加のイオン化イベントを発生させずに吸収される程度に低いエネルギーになるまで、そのエネルギーを低減する。ある推定では、10eV〜100eVのエネルギーを有する二次電子の平均自由行程は、フォトレジスト内で20nm〜1nmの範囲にある。結果として、量子収率または量子効率(例えば、単位光子あたりの酸生成イベントの数)は、SnO2吸収イベントとフォトレジスト層の間の分離距離の関数である。したがって、量子収率を増加させ、所望のパターンをフォトレジスト上に転写するために必要なEUV放射線量を減少させるために、SnO2とフォトレジスト層の間の距離を最小化することが望ましい。EUV線量を減少させると、曝露時間が短縮され、コストが削減され、かつ処理時間が速くなる。しかし、フォトレジストとSnO2層の間の距離を最小化すること(例えば、フォトレジストをSnO2層に直接塗布することによる最小化)に関連する課題が存在する。
SnO2表面は、SnO2結合の極性、および極性−極性相互作用に関与する能力に起因して親水性である。いくつかの実施形態では、SnO2表面は、約1°〜30°の水接触角(WCA)に関連していることが見出された。WCAが低いこと(例えば、90°未満)は親水性に関連し、WCAが高いこと(例えば、90°超)は疎水性に関連する。WCAの経験的測定値は、とりわけ、表面の滑らかさもしくは粗さ、表面のトポロジー、格子特性、表面格子における酸素空孔、層の厚さ、不純物、および/または層内の汚染を含む様々な要因に依存する。
一方、EUVリソグラフィで使用されるフォトレジストは、一般に、非極性基および高分子有機材料の存在に起因する疎水性によって特徴付けられる。疎水性分子と親水性分子との相互作用は疎水性材料とそれ自体との疎水性−疎水性相互作用よりも熱力学的に有利ではないため、疎水性材料は親水性表面に接着しない傾向がある。結果として、疎水性フォトレジストを親水性SnO2表面上に直接塗布すると、スピンオン時に表面に均一に接着せず、SnO2表面全体にビードを形成したり厚さが不規則になったりする場合がある。フォトレジスト層におけるこれらの不規則性および不均一性の結果、フォトレジスト層とSnO2層の全体にわたって量子収率が不均一になる場合がある。これにより、フォトレジスト内での光酸生成に不規則で意図しない偏差が生じる。フォトレジストをSnO2層上に直接塗布すると、これに続くSnO2層のエッチング後にパターンが崩壊する程度までラインエッジラフネスおよび線幅変動が増加する。
フォトレジストのSnO2表面への接着性が不十分であることに対処するために、1つまたは複数の介在層(例えば、スピンオンカーボン)をフォトレジストとSnO2との間に載置することができる。フォトレジストがSnO2に対して有する接着性と比較すると、介在層はフォトレジストとSnO2の両方に対してより強い接着性を有する。結果として、ビード形成、または厚さおよび/もしくはトポロジーにおける他の不規則性を伴うことなく、フォトレジストを介在層に塗布することができる。これに続くSnO2のエッチングは、介在層なしでフォトレジストをSnO2層に塗布したときに観察される程度のパターン崩壊を起こさずに達成され得る。しかし、介在層はフォトレジスト層における二次電子の量子収率を低下させるため、マスクまたはレチクルによって定義されたパターンをフォトレジスト上に転写するには、より高いEUV放射線量が必要である。例えば、二次電子の平均自由行程はおよそ10〜20nmであるため、SOCの10〜20nmの介在層は、フォトレジストに移動する二次電子の割合を大幅に低減する。したがって、EUVリソグラフィを使用してSnO2をパターニングするための方法およびシステムの改良をここで検討する。
図1は、一実施形態による、EUVリソグラフィシステム100においてEUV放射104に曝露されるスタック101の概念図を示す。EUV光源102は、レーザ駆動プラズマ光源から生成され得る約10nm〜約124nmのEUV放射104を放出する。いくつかの実施形態では、波長は約13.5nmであると考えられる。マスク106は、パターニング用に設計されたEUV放射104のみをスタック101に到達させる。なお、EUVリソグラフィシステム100は、図1に記載の概念を曖昧にしないために図示していない多くの異なる構成および追加の構成要素を有してもよいことに留意されたい。例えば、EUVリソグラフィシステムは、コレクタ、ミラー、投影光学系、照明器などのようないくつかの光学構成要素を含んでもよい。加えて、マスク106の構成は、図1に示すマスク106の代わりに、レチクルまたは反射マスクであってもよい。
図示されるスタック101は、スピンオンカーボン(SOC)などの接着剤110の層に接着されるフォトレジスト108を含んでおり、この接着剤110の層が、パターニングが望まれるSnO2の層112に接着する。SnO2層112と基板114(シリコンウエハであってもよい)の間のスタックには、1つまたは複数の層を含めることができる。接着剤110の層は、約1nm〜約10nmであることが示されている。
図1には、いくつかの代表的なEUV光子吸収イベントが示されている。例えば、吸収イベント116では、EUV光子が、フォトレジスト分子によって吸収され、フォトレジスト分子のイオン化を引き起こすことができる。吸収およびイオン化イベントは、EUV光子よりも低いエネルギーを有する光電子を生成し、この光電子は、イオン化されたフォトレジスト分子から伝播していくつかの二次電子を生成する。これらの二次電子は、光酸発生剤と相互作用して酸(例えば、H+)を生成する。酸が生成されるたびであっても、二次電子は、いくらかのエネルギーを失う。いくつかの推定では、二次電子は、酸生成イベントのたびに約2〜4eVを失う。
別の吸収イベント118では、接着剤110の層のSOC分子が、光電子を生成することなくEUVを吸収する。光電子が光電効果によって生成されると、入射光子は、材料内に電子を放出するために、材料の仕事関数(例えば、電子結合エネルギー)よりも多くのエネルギーを移動させなければならない。入射光子エネルギーが低すぎると、電子は材料から逃げず、光電子は生成されない。SnO2と比較して、SOC分子はより高い電子結合エネルギーを有すると見られており、したがってEUV照射からの光電子の放射体としては、より貧弱である。入射光子の多くは、光電子を生成することなくSOCによって吸収される。二次電子の量子収率は、光酸生成およびパターン転写に十分な光電子および二次電子を生成するためにEUV線量(例えば、EUV強度、または曝露期間)を約2倍〜約10倍以上増加させなければならない程度まで減少する。
別の吸収イベント120では、EUV光子は、SnO2層112のSnO2分子によって吸収され、光電子およびいくつかの二次電子を生成する。二次電子は、固体材料中の二次電子の散乱効果により、ランダムに散乱することが図示されている。散乱イベント(例えば、偏向、二次電子経路の分岐)は、二次電子とSnO2層中の原子との原子相互作用によるものである。これらのいくつかには、弾性散乱、イオン化、およびプラズモンまたは分子励起子の生成が含まれる。いずれにせよ、二次電子の平均自由行程は、約10nm〜20nmとなる可能性がある(参考として円周122によって示す)。したがって、1nm〜10nmの接着剤110の介在層が存在することにより、酸生成のためにフォトレジスト108に移動できる二次電子の割合が減少する。結果として、接着剤110によって二次電子の量子収率が減少し、フォトレジスト108内のパターン転写に必要な光酸生成量を達成するために、より多くの線量または曝露時間が必要になる。
また、図1に示されるグラフ図124は、スタック101の構成について、距離の関数としての光酸生成速度を表す代表的な曲線126を示している。理想的には、曲線128に示すように、光酸はパターンエッジの外側ではなく、パターンエッジ間の空間内で生成される。場合によっては、所望のパターンエッジの外側のフォトレジスト108における光酸の生成は、フィーチャエッジにおける数々の偏差の中でもとりわけ、欠陥、コントラストの喪失、解像度の喪失、ラインエッジラフネス(LER)、線幅変動(LWV)、限界寸法の喪失、およびパターン崩壊をもたらす。状況によっては、二次電子の散乱効果がパターンエッジにおける偏差に寄与する場合がある。したがって、ここで説明する実施形態(図3参照)は、図1に示すスタック101と比較して、SnO2層112へのパターン転写後のパターンエッジにおける偏差(例えば、LERおよびLWV)を低減することが可能であると考えられる。さらに、ここで考えられる実施形態はまた、図1に示すスタック101と比較して、フィーチャをSnO2層112上に印刷するために必要なEUV線量の低減(例えば、EUV光強度および/または曝露時間の低減)を可能にする。
図2は、一実施形態による、EUVリソグラフィシステム100においてマスク106またはレチクルを通してEUV放射104に曝露されるスタック201の概念図を示す。スタック201は、フォトレジスト204がSnO2層206に直接塗布されたものであり、フォトレジスト204とSnO2層206の両方が、基板208との間に1つまたは複数の層を挟んで、基板208の上に設けられている。フォトレジスト204は高分子有機物を含む傾向があるので、比較的疎水性である(例えば、約90°以上のWCAを有する)。一方、SnO2層206は、比較的親水性である傾向があり、約1°〜約20°のWCAを有する。結果として、フォトレジスト204は、塗布されたときにSnO2層206への接着性が不十分である。例えば、フォトレジスト204の厚さの不均一性、いくつかの領域におけるフォトレジスト204のビード形成、フォトレジスト204とSnO2層206との界面203における非接触のポケット、および他の偏差が存在する可能性がある。これらの偏差は、フォトレジスト204が現像され、SnO2層206がエッチングされるときのパターン崩壊の原因であると提示されている(未修飾のSnO2層にフォトレジストを直接塗布することによるパターン崩壊の画像については、図7A参照)。
これらの偏差のいくつかを、フォトレジスト204の上面200aおよびフォトレジスト204の下面200bに図示する。例えば、上面200aは、実質的に平面ではない。同じことが下面200bにも当てはまる。これらの偏差のいくつかは、疎水性フォトレジスト204と親水性SnO2層206との熱力学的に有利ではない分子相互作用のために形成されると仮定される。フォトレジスト204がソフトベークまたはハードベークを受けると、これらの偏差または変形が残り、場合によっては悪化する可能性がある。一例では、フォトレジスト204がソフトベークまたはハードベーク中に熱を受けると、フォトレジスト204とSnO2層206との界面203に非接触の小さなポケットが形成されることがある。結果として、フォトレジスト204は、形状、厚さ、およびSnO2層206との接触の連続性が不規則になる可能性がある。
加えて、フォトレジスト204をSnO2層206上にスピンコーティングする際のスピン速度が低いことも、偏差が形成される原因として考えられる。スピン速度は、フォトレジスト204が遠心力によりSnO2層206から分離しないようにするため低くされる。しかし、スピン速度を低くすることは、フォトレジスト204をSnO2層206全体に均一にコーティングする効果を低くすることにもなる。
図示される吸収イベント202は、図1の吸収イベント116と同様に発生する。別の吸収イベント204では、EUV光子がSnO2分子によって吸収され、光電子およびいくつかの二次電子を放出する。フォトレジスト204における偏差は、後でSnO2層206へのパターン転写中にパターン崩壊を引き起こすような形で、フォトレジスト204への二次電子収集に影響を与えることが提示されている。観察されたパターン崩壊を引き起こすメカニズムが、いくつか提示されている(図7A参照)。1つのメカニズムでは、フォトレジスト204の厚さの不規則性が、SnO2層206に到達するEUV放射の線量に影響を与えると仮定されている。結果として、SnO2 206からの二次電子放出は、SnO2層206全体にわたって不規則になり、パターン崩壊に寄与することになる。
パターン崩壊に寄与すると考えられる別のメカニズムでは、非接触のポケットがSnO2層206とフォトレジスト204の間の二次電子の伝達に対して、不均一に悪影響を及ぼす場合がある。二次電子は、特定のエネルギーで放出されると、波状の特性を有する。二次電子の波は、ドブロイの式によって表される波長を有する:
Figure 2021534584
方程式(1)において、hはプランク定数、pは二次電子の運動量である。また、pはme*vで代用される(ここで、meは電子の質量、vはその速度である)。
例えば、電子ビームからの電子が光子と同様に回折および屈折する可能性があることは当技術分野でよく理解されている。EUV光子吸収イベントの結果として生成される二次電子も、同様である。例えば、二次電子は界面203を横切るときに屈折を受ける可能性があり、これにより散乱が増加する一方、感度、特異性、およびコントラストが減少する。ある仮定の例では、非接触のポケットが存在する場合、二次電子がSnO2層206から界面203に入射すると、SnO2の屈折率と非接触のポケットの真空状の空間の屈折率との差により、二次電子が全反射(TIR)する可能性がある。同様に、界面203での疎水性−親水性接触は、疎水性−疎水性接触よりも二次電子の屈折を促進する可能性がある。いずれにせよ、フォトレジスト204が未修飾のSnO2層206上に直接塗布される場合に観察されるのは、二次電子の散乱の増加に起因する解像度、コントラストの減少と、最終的なパターン崩壊である。
また、図2に示されるグラフ図210は、距離の関数としての光酸生成速度を、理想的な曲線128および例示的な曲線212を用いて表している。接着剤110が介在するスタック101の曲線126と比較すると、標的領域(例えば、パターンエッジの内側)における光酸生成速度は、スタック201の曲線212のほうがより高い。しかし、曲線212はまた、非標的領域(例えば、エッジパターンの外側)における光酸生成速度も高いことを実証している。非標的領域における光酸生成速度が高いことは、上記で仮定されたいくつかのメカニズム、すなわち、フォトレジスト204の頂面200aおよび底面200bの非平面性、界面203における非接触のポケットの存在、界面203における疎水性−親水性相互作用の存在、フォトレジストのビード形成(図示せず)、ならびにSnO2層206に関連するフォトレジスト204の形状、厚さ、トポロジー、および近接性における他の不規則性によって引き起こされると考えられる。上記で論じたように、これらの不規則性は、界面203を横切る二次電子の散乱を増加させる可能性がある。光酸が非標的領域で生成されるため、これらの非標的領域は現像剤に可溶になり、パターン崩壊を生じる可能性がある。
図3は、一実施形態による、EUVリソグラフィシステム100においてマスク106またはレチクルを通してEUV放射104に曝露されるスタック301の概念図を示す。スタック301は、SnO2層304の上面の疎水性表面処理302に塗布されたフォトレジスト300を含む。疎水性表面処理302は、フォトレジスト300が図2に示す不規則性を形成することなくSnO2層304に接着するように親水性SnO2層304の親水性を低下させる修飾を施す1つまたは複数の化合物の単層であると考えられる。疎水性表面処理302の厚さは、縮尺通りに描かれていない。
いくつかの実施形態では、疎水性単層は、SnO2層304をアミノシランまたはアミノスズガス状化合物に曝露することによって形成される。いくつかの例示的なアミノシランおよびアミノスズ化合物については、より詳細に後述する。アミノシランまたはアミノスズガス状化合物は、SnO2層304の上面付近に露出したSnO2分子と反応し、自己組織化して単層になる。他の実施形態では、アミノシランまたはアミノスズ化合物は、反応のために液体であってもよい。加えて、他の実施形態では、疎水性表面処理302として、疎水性化合物の2つ以上の層を使用してもよい。
いくつかの実施形態では、SnO2層304のWCAは、約5°以下〜約100°以上、または約10°〜約80°、または約20°〜約50°増加する。例えば、SnO2層304が約1°〜20°のWCAを有する場合、疎水性表面処理302は、SnO2層304のWCAを約30°〜約120°以上に増加させると考えられる。
疎水性処理302を有するSnO2層304にフォトレジスト300が塗布されると、SnO2層304へのフォトレジスト300の接着性が改善される。接着性の改善によって、フォトレジスト300とSnO2層304との界面300での接触均一性がより高くなり、フォトレジスト300の平面性のレベルがより高くなり、かつフォトレジスト300の厚さの均一性がより高くなる。界面303での疎水性−疎水性相互作用は、疎水性−親水性相互作用と比較して、より熱力学的に有利な相互作用であるため(例えば、プリベークとポストベークの両方)、フォトレジスト300とSnO2層304の接触の安定性を増加させると仮定されている。
接触安定性の増加により、フォトレジスト300とSnO2層304との接触がより均一で連続的になると考えられる。加えて、接着性の向上により、二次電子の追加の散乱に寄与する非接触のポケットが減少するか、または無くなると考えられる。結果として、接着剤110が介在するスタック101と比較して二次電子の量子収率が改善され、一方で疎水性表面処理302を伴わないスタック201と比較して二次電子収集の特異性も改善される。二次電子収集の特異性が改善されることにより、図7Bに示すように、パターンが崩壊することなくパターンが転写される。
吸収イベント308では、SnO2分子がEUV光子のエネルギーを吸収し、いくつかの二次電子を発生する一次電子(例えば、光電子)を放出する。二次電子が界面303を横切るときに受ける屈折は、界面203を横切るときよりも少ない可能性がある。加えて、界面203はより連続的な接触を実証しているので、全反射はそれほど要因とはならない。結果として、フォトレジスト300に入る二次電子の散乱効果は、それほど存在しない。さらに、フォトレジスト300の厚さは、疎水性表面処理302によってさらに一定にできるので、SnO2 304内で所与の曝露領域にわたって発生するEUV光子吸収イベントの速度の均一性も改善される。
また、図3に示されるグラフ図310は、距離の関数としての光酸生成速度を、理想的な曲線128および例示的な曲線312を用いて表している。接着剤110が介在するスタック101の曲線126と比較すると、スタック201の曲線212は、標的領域(例えば、パターンエッジの内側)における光酸生成速度がより高いことを示している。疎水性表面修飾302のないスタック201の曲線212と比較すると、曲線312は、非標的領域(例えば、エッジパターンの外側)における光酸生成速度がより低いことを示している。結果として、疎水性表面処理302を用いることにより、光酸生成における空間的特異性をより高くすることができ、パターン崩壊なしにパターン転写を行うことができる。パターン崩壊の回避に加えて、疎水性表面処理304は、フィーチャをフォトレジスト上に印刷するためのEUV線量および/または曝露時間を、SOCなどの接着剤が介在するスタックに必要とされるEUV線量および/または曝露時間よりも少なくすることが可能である。
図4Aは、一実施形態による、基板406上に存在するSnO2層404に疎水性表面処理401を塗布するために使用されるチャンバ400の概念図を示す。疎水性表面処理化合物供給部402は、SnO2層404の上面と反応させるために化合物をチャンバに供給する。疎水性表面処理401に使用される化合物として、多くの化合物が考えられる。いくつかの実施形態では、アミノシランまたはアミノスズ化合物などのアミノ有機メタロイド/アミノ有機金属を使用することができる。これらのいくつかは、以下の化学構造によって表される:
Figure 2021534584
Figure 2021534584
Figure 2021534584
Figure 2021534584
(2)〜(5)において、Rはアルキル基である。例えば、いくつかの実施形態では、疎水性表面処理化合物は、(CH32Si[N(CH322、(CH33SiN(CH32、(CH32Sn[N(CH322、または(CH33SnN(CH32、または前述の組み合わせなどのアルキルシランおよびアルキルスズ化合物を含み得る。加えて、HMDS(ヘキサメチルジシラザン、HN[Si(CH332)を使用して、トリメチルシリル(TMS)基をSnO2表面に移動させることも考えられる。上述の疎水性表面処理化合物は、SnO2表面と反応して、フォトレジストの接着性が向上するようにSnO2表面の疎水性を高める非限定的な例示的な化合物として提供される。ほかにも、SnO2表面と反応して、フォトレジスト接着のためにSnO2表面の疎水性を高める能力を有することから同様に本開示の範囲および精神に含まれる他の疎水性表面処理化合物が存在し得ることを、当業者は認識するであろう。追加の非限定的な例を以下に提供する。
アルキルシランおよびアルキルスズの場合、H2Oの存在を利用して、SnO2表面との反応を進行させることができることに留意されたい。基板からの固有の水分が、アルキルシラン/スズの反応の発生に十分なH2Oを提供することもある。他の実施形態では、TMSまたはトリメチルスズ基の加水分解およびSnO2表面への移動のために、水蒸気を処理チャンバ400に供給してもよい。
さらに他の実施形態では、1〜20個以上の炭素原子を有する炭素鎖を持つアミノ基に反応性基(例えば、アルコキシル基)が結合されたシランまたはスズ原子を有する様々な化合物もまた、疎水性を高めるようにSnO2層404の表面を修飾すると考えられる。例えば、供給部402によって供給される疎水性表面処理化合物の組成は、以下の構造によって表すことができる:
Figure 2021534584
Figure 2021534584
概して、疎水性表面処理化合物は、SnO2と反応して(例えば、加水分解または他の方法によって)Sn原子と共有結合を形成し、同時に非極性基を含み、SnO2表面の疎水性の増加を促進する任意の化合物であると考えられる。本開示の範囲および精神に含まれるが、本開示の背景にある概念を不必要に曖昧にしないために提示されていない多数の追加の化学組成物、異性体、鏡像異性体などが存在することが当業者に理解されるであろう。
(6)と(7)の両方において、Rは、一般に炭素鎖から加水分解することができない有機官能基である。いくつかの実施形態では、Rは、NH2、NH3 +、CH3、NHCH3、N(CH32、N(C252、N(C372などである。また、(6)と(7)の両方において、nは、1〜30、または2〜20、または3〜5の間の数である。アルキル鎖が長いほど(例えば、n>10)、SnO2層404の表面の疎水性が高くなるよう促進される傾向がある。しかし、アルキル鎖が長いほど、疎水性表面処理プロセスが不確実にもなる。炭素数が一定のアミノ有機メタロイドを生成または調達することは、アルキル鎖が短い場合よりもアルキル基が長い場合のほうが困難である。そして、本実施形態の1つの目的は、疎水性表面処理における均一性を高くすることであるため、これを行うことは、アルキル基が長鎖であるほど困難になる可能性がある。
さらに、より長いアルキル基を有するアミノ有機メタロイドまたはアミノ有機金属(本明細書ではアミノ有機メタロイドと呼ぶ)は、SnO2層404のSnO2分子との反応速度を低下させることによって処理プロセスを遅くする可能性もあり、また、疎水性表面処理化合物によるSnO2層404の均一性および被覆率を低下させる可能性もある。したがって、炭素数2〜10のアルキル基は、反応速度、および被覆率の均一性を向上させるとともに、疎水性のレベルを向上させることができると考えられる。
さらに(6)および(7)を参照すると、X3は、1〜3つの加水分解性基(例えば、アルキルオキシ基)を含むと考えられる。例えば、一実施形態では、X3は、Xa=OH、COOH、またはOCH3などを有し、一方でXb,c=H、CH3、C25、C35を有し得る。別の実施形態では、X3は、Xa,b,c=OH、COOH、OCH3、OC25などを有し得る。いくつかの実施形態では、二脚型または三脚型シラン基を使用することができ、その組成は以下のように表される:
Figure 2021534584
Figure 2021534584
(8)および(9)において、Mは、SiまたはSnなどのメタロイド/金属である。(8)に示す化合物は最大6つのSn原子と結合することができ、(9)の化合物は最大9つと結合することができる。
いくつかの実施形態では、疎水性表面処理化合物は、SnO2層404の表面全体に単層を形成し得る。そのような考えられる単層は、処理化合物の1分子の厚さ(例えば、約5A〜約100A)を有する。いくつかの実施形態では、処理化合物は、「自己組織化単層」を形成し得る。自己組織化単層は、材料(ここでは、疎水性処理化合物)の1分子の厚さを有し、堆積中および反応中の化学的力の結果として生じる層である。さらに、2つ以上の加水分解性基(例えば、3つのOCH3基)を有するいくつかの実施形態では、各処理化合物分子は、SnO2層404と2つ以上のオキサン結合を形成するだけでなく、互いにシロキサン結合を形成する場合がある。
疎水性表面処理化合物は、気相であり、約5秒以下〜約180秒以上、または約10秒〜約60秒、または15秒〜30秒の間チャンバ400内のSnO2層404に曝露されると考えられる。アミノシランおよびスズシランに対する処理曝露時間に関する実験結果のいくつかを、それぞれ図6Aおよび図6Cに示す。曝露時間は、使用する疎水性表面処理化合物によって異なり、化学的により複雑な(例えば、より長いアルキル鎖、分岐鎖、アルコキシ基の数)化合物は、同様のパーセンテージの被覆率または同様の疎水性の増加を達成するために、比較的長い曝露時間を必要とする可能性がある。
いくつかの実施形態では、チャンバ400への疎水性表面処理化合物の流量は、約5標準立方センチメートル/分(SCCM)〜約1000SCCM、または約10SCCM〜約100SCCM、または約20SCCM〜約40SCCMである。いくつかの実施形態では、ヘリウムなどのキャリアガスを、約20SCCM〜約200SCCM以上、または約50SCCM〜約100SCCMでチャンバ400に流し込む。いくつかの実施形態では、チャンバ400は、約室温〜約100℃、または約30℃〜約60℃、または約50℃の温度を維持するための1つまたは複数の加熱および/または冷却素子(図示せず)を含む。
さらに、いくつかの実施形態では、疎水性表面処理401は、SnO2層404上で約10%〜約100%の被覆率を有すると考えられる。この場合の被覆率は、疎水性表面処理化合物に結合したSn原子の数を、上記の条件下でそのような結合に関与できるSn分子の総数で割ったものとして表される。他の実施形態では、図4Aに示すプロセスで達成される被覆率は、約20%〜約99.99%、または約50%〜約99%、または約70%〜90%と考えられる。これらの範囲は、フォトレジストを未処理のSnO2層に塗布する場合と比較して、ラインエッジラフネス、線幅変動/粗さを改善し、パターン崩壊を回避するという観点からフォトレジストの接着性を向上させてパターン転写を改善するように、SnO2層404の表面の疎水性を高くすると想定される。
いくつかの実施形態では、疎水性表面処理401は、SnO2層404のWCAを約5°〜約100°、または約10°〜約80°、または約20°〜約50°増加させると見られている。WCAのこのような増加は、フォトレジストを未処理のSnO2層に塗布する場合と比較して、SnO2層404へのフォトレジストの接着性を向上させてLER、LWVの改善をもたらし、パターン崩壊を回避すると考えられる。
図4Bは、一実施形態による、疎水性表面処理401を有するSnO2層404上にフォトレジスト414の層を塗布するプロセスにおけるフォトレジストスピンコータ408の概念図を示す。フォトレジスト414は、フォトレジスト供給部410によって供給され、ディスペンサ412を介してSnO2層404上に塗布される。次に、チャック418に接続されたスピンドル420を介してウエハ406を回転させ、均一にフォトレジスト414を分配し、過剰なフォトレジストを1つまたは複数のエッジビードリムーバ(EBR)416によって吸引分離する。
典型的には、基板上に均一にフォトレジストを分配するため、フォトレジストの粘度に応じてスピン速度を高速にする(例えば、3000〜8000rpm)。疎水性表面処理化合物によって修飾されていないSnO2層にフォトレジストを塗布する場合、接着力の低さが理由で塗布したフォトレジストの大部分が遠心分離されるのを回避するために、スピン速度をより低速にする必要がある。このようにして得られたフォトレジスト層には、不均一な厚さ、ビード形成、非接触のポケットなどの不規則性が見られる。疎水性表面処理401は、未処理のSnO2層と比較して遠心分離が少ない状態でスピン速度をより高速にできるように、フォトレジスト414をSnO2層404に接着させることができる。このようにして得られたフォトレジスト414では、厚さ、トポロジー、およびSnO2層404との接触においてより高い均一性が見られる。
図5A〜図5Hは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。図5Aは、SnO2層の表面で2つのSn原子に結合した酸素原子を示す。他の実施形態では、Sn原子は、プライミングステップでヒドロキシル化され得る。図5Bでは、例示的なアミノシラン前駆体(例えば、(OCH33Si(CH23NH2)が、オキサン結合を介して3つのSn原子と反応したことが示されている。図5Cでは、例示的なアミノスズ前駆体(例えば、(OCH33Sn(CH23NH2)が、3つのSn原子と反応したことが示されている。図5Dは、各々Sn原子と反応する2つの例示的なアミノシラン前駆体(例えば、OCH3Si(CH23NH2(CH32)を示す。このような反応のマクロ効果により、SnO2表面の疎水性およびSnO2表面へのフォトレジストの接着性が向上する。
図5Eは、3つのアルコキシル基を有するアミノメタロイドシラン前駆体で処理されたSnO2表面の一実施形態を示し、Mは、SiまたはSnなどのメタロイドまたは金属である。3つのアルコキシ基の1つはSn原子と反応し、他の2つは隣接するM原子と結合する。図5Fは、ヘキサメチルジシラザン(HMDS)、または(CH32Si[N(CH322、(CH33SiN(CH32で処理されたSnO2表面の一実施形態を示す。このような処理の結果、トリメチルシリル基がSnO2表面のSn原子に移動する。以下のスズ含有疎水性表面処理化合物を用いた同様の反応によって、SnO2をトリメチルスズ基で修飾することも可能である:(CH32Sn(N(CH322、(CH33SiN(CH32)、およびHN[Sn(CH332
図5Gは、二脚型シラン基で処理されたSnO2表面の一実施形態を示し、Mは、SiまたはSnなどのメタロイド/金属である。図5Hは、メタロイド/金属M原子を介して、およびアミノ基を介してSnO2表面のSn原子と結合を形成したアミノ有機メタロイドの一実施形態を示す。
図6A〜図6Dは、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示しており、特定の実施形態によれば、アミノシランまたはアミノスズは、30℃〜60℃に維持されたチャンバに、蒸気として10〜50SCCMで導入される。図6Aは、処理時間および処理後の時間(例えば、キュー時間)の関数としてSnO2表面のWCAがどのように変化するかを示す。アミノシラン処理を行わない場合、WCAは20°弱であり、SnO2表面が非常に親水性であることを示している。未処理のSnO2のWCAは、48時間の期間にわたって20°から数度以内にとどまる。SnO2表面を30秒間アミノシランで処理すると、キュー時間が0時間のときのWCAはおよそ57°である。48時間の期間にわたるWCAの減少は約2°であり、疎水性表面処理が比較的安定していることを示している。
SnO2表面を90秒または180秒間アミノシランで処理した場合、表面のWCAが増加しないことが見出された。代わりに、30秒の処理と比較すると、90秒と180秒の処理の両方でWCAがわずかに減少する。さらに、90秒または180秒間アミノシランで処理された表面のWCAは、48時間のキュー時間の期間にわたって減少する傾向があり、180秒間処理された表面のほうが減少速度が大きいことが見出された。
図6Bは、30秒間のアミノシラン処理によって修飾されたSnO2表面について、処理から24時間後の表面上の水滴および対応する水接触角を示している。図6Bは、SnO2表面の疎水性が高くなり、親水性が低下することを実証している。この表面は、当技術分野における一般的な定義では「疎水性」と見なされないかもしれないが(例えば、疎水性はWCA>90°を意味する)、それでも、フォトレジストの接着性を向上させる程度まで疎水性が高められている。
図6Cは、アミノスズ処理の様々な処理期間および処理後の様々な時点で表面のWCAがどのように変化するかを示している。処理なし(例えば、アミノスズ:0秒)では、実験的なSnO2表面のWCAは、約1〜2°であることが見出された。アミノスズによる30秒、90秒、または180秒の処理後、表面のWCAはおよそ35°以上増加した。アミノシラン処理とは対照的に、図6Cでは、処理された表面のWCAが24時間の期間にわたって約5°わずかに増加する傾向があることに留意されたい。アミノシランとアミノの両方が、ほぼ同じ程度まで疎水性およびWCAを効果的に増加させることも見出された。疎水性の絶対変化が最大になるのは30秒間のアミノシラン処理であるが(例えば、WCAが38°増加する)、アミノスズ処理は処理時間が異なる場合でもより一貫性があることが示されている。
図6Dは、90秒間アミノスズで処理されたSnO2表面について、処理から24後の表面上の水滴を示している。この場合も、図6Dに示す表面は、当技術分野における一般的な定義では技術的に「疎水性」と見なされないかもしれないが、パターン崩壊なしにパターン転写を実施できるようにフォトレジストの接着性が向上する程度まで疎水性が高められている。本明細書に関連して、アミノシランおよびアミノスズによる疎水性表面処理は、処理後70日以上、SnO2層上へのフォトレジストの接着性を向上させることが見出された。
図7Aおよび図7Bは、特定の実施形態による、およそ18nmピッチの線パターンでエッチングされたSnO2層の走査型電子顕微鏡(SEM)画像である。図7Aでは、EUVリソグラフィ用に、フォトレジストが未修飾のSnO2に直接塗布される。その結果、顕著なパターン崩壊が発生する。例えば、領域700および702は、本来あるべき場所にトレンチが形成されていないパターン転写の失敗を示す。領域704および706は、過度にエッチングされ、パターンに望まれるよりも広いトレンチを示す。領域708は、実質的なラインエッジラフネス、ならびに線幅変動および粗さを示す。領域710は、フィーチャの歪みおよびシフトを示す。これらの領域の各々は、疎水性表面処理を行わずにフォトレジストをSnO2に直接塗布すると、どのようにパターン崩壊が起こるかを示す例である。
図7Bは、フォトレジストを直接塗布する前に、SnO2を疎水性表面処理化合物(例えば、アミノシラン、またはアミノスズ)で処理したSnO2について、EUVリソグラフィを使用したパターニングを示す。表面修飾されたSnO2に対するフォトレジストの接着性が強化されたことにより、フォトレジストをより均一に、かつSnO2表面とより安定的に接触した状態で塗布することが可能になる。結果として、パターンは、より高い解像度、コントラスト、および特異性でフォトレジストに転写される。フォトレジストでのパターン転写の強化は、SnO2エッチング中のパターン転写の強化に引き継がれる。例えば、トレンチ712は、ラインエッジラフネスおよびラインエッジ変動が改善された、明確に定義されたエッジを有する。さらに、パターン内の各トレンチは、線パターン転写の目に見える失敗なしに転写されている。加えて、図7Aに見られるパターンの歪みとは対照的に、転写プロセス後に目に見えるパターンの歪みは見られない。したがって、SnO2の疎水性表面処理は、約18nm以下のピッチに対して、後でSnO2内のパターン崩壊を伴うことなく、フォトレジストをSnO2に直接塗布することが可能であることが示されている。
疎水性表面処理化合物の送給を制御するための、およびフォトレジスト塗布のためのコンピュータプログラムは、任意の従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートラン他)で書くことができる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラム中で識別されたタスクを実施するためプロセッサによって実行される。
上記の実施形態を念頭に置いて、実施形態は、コンピュータシステムに格納されたデータを伴う様々なコンピュータ実装動作を用いることができることを理解されたい。これらの動作は、物理量を物理的に操作することを必要とする動作である。本明細書で説明されて実施形態の一部を構成するあらゆる動作は、有用な機械動作である。実施形態はまた、これらの動作を実施するためのデバイスまたは装置に関する。装置は、専用コンピュータなど、必要な目的のために特別に構築されてもよい。専用コンピュータとして定義されるとき、コンピュータは、その専用の目的のために動作可能でありつつ、専用の目的の一部ではない他の処理、プログラム実行、またはルーチンを実施することもできる。あるいは、動作は、コンピュータメモリ、キャッシュに格納されるかネットワークを介して取得される1つもしくは複数のコンピュータプログラムによって、選択的にアクティブ化または構成される汎用コンピュータによって処理されてもよい。ネットワークを介してデータが取得される場合、そのデータは、ネットワーク上の他のコンピュータ(例えば、計算資源のクラウド)によって処理されてもよい。
1つまたは複数の実施形態は、コンピュータ可読媒体上のコンピュータ可読コードとして製作することもできる。コンピュータ可読媒体は、データを格納することができる任意のデータストレージデバイスであり、データはその後コンピュータシステムによって読み取られ得る。コンピュータ可読媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み取り専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、ならびに他の光学および非光学データストレージデバイスを含む。コンピュータ可読媒体は、コンピュータ可読コードが分散方式で格納および実行されるように、ネットワーク結合コンピュータシステム上に分散されたコンピュータ可読有形媒体を含むことができる。
方法動作は特定の順序で説明されたが、各動作の間に他のハウスキーピング動作が実施されるか、または各動作がわずかに異なる時間に発生するように調整されるか、または各処理動作を処理に関連する様々な間隔で発生可能にするシステムに分散される場合があることを理解されたい。
前述の実施形態は、明確な理解のために多少詳しく説明されているが、開示された実施形態の範囲内で一定の変更および修正を実施できることは明らかであろう。本実施形態のプロセス、システム、および装置を実装する上で、多くの代替方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、実施形態は本明細書に述べられる詳細に限定されるべきではない。
本実施形態は、半導体基板処理方法および機器ツールに関し、より具体的には、SnO2の表面化学を修飾し、極端紫外線(EUV)リソグラフィ中のフォトレジストパターンの安定性およびプロセスウィンドウを強化するための方法およびシステムに関する。
集積回路(IC)などの半導体電子デバイスの製造には、回路パターンをマスクから基板上に転写するためのリソグラフィプロセスを伴うことが多い。デバイスの処理速度の高速化および高機能化に対する要求により、IC内のフィーチャは限界寸法(CD)を満たすようにさらなる小型化が必要とされている。現在、次世代テクノロジーノードに必要な限界寸法目標のニーズを達成するために、約13.5nmの放射波長を有する極端紫外線(EUV)リソグラフィが大量生産(HVM)用に開発されている。
HVM用に波長13.5nm以下のEUVリソグラフィを開発する際の課題は、線幅変動(LWV:line width variation)およびラインエッジラフネス(LER:line edge roughness)を改善してパターン崩壊を防ぎ、限界寸法を達成することである。EUVリソグラフィHVM用に限界寸法を達成するために改善を要する主要な領域の1つは、フォトレジスト(PR)の設計およびプロセスであり、これらはしばしばLWRおよびLERの原因となる。パターン崩壊を防ぐためにPR塗布プロセスを修正する方法はより高線量のEUV光を必要とするので、コストの増加とスループットの低下を招く。したがって、より多くの線量のEUV放射を必要とせずに限界寸法を達成することができる、PR塗布方法の改良が望まれている。
実施形態は、このような状況で生じるものである。
本実施形態は、SnO2層からの二次電子収集(secondary electron harvesting)を強化するためにフォトレジストをSnO2層上に直接塗布することを可能にするプロセスの改善、およびSnO2層上へのパターン転写解像度の改善に関する。本開示の実施形態は、フォトレジストの接着性を強化し、接着剤の介在層なしにフォトレジストをSnO2層上に直接塗布することを可能にするために、SnO2層の表面化学をより疎水性に修飾するための方法およびシステムを提供する。本実施形態は、方法、装置、システム、デバイス、またはコンピュータ可読媒体上のコンピュータプログラムなど、多数の様式で実現できることを理解されたい。いくつかの実施形態を、以下に説明する。
一実施形態では、方法は、疎水性表面処理化合物を処理チャンバに導入することを含む。処理チャンバは、処理用のSnO2層内に基板を含む。疎水性表面処理化合物は、SnO2層の表面に、その表面の疎水性を高める修飾を形成する。この方法はまた、スピンコーティングによってSnO2層の表面上にフォトレジスト層を堆積することを規定する。SnO2層の表面の修飾は、スピンコーティング中のフォトレジストとSnO2層との接触の接着性を強化する。
一実施形態では、方法は、処理チャンバ内の基板上にSnOx層を堆積する動作を含み、SnOx層の表面は、親水性である。この方法は、SnOx層の表面を疎水性表面処理化合物と反応させ、表面の親水性を低下させるための動作を含む。この方法はまた、SnOx層上にフォトレジストをスピンコーティングすることを規定し、疎水性表面処理化合物と反応して親水性が低下したSnOx層の表面は、フォトレジストとSnOx層が直接接触するように、フォトレジストへのSnOx層の接着性を向上させる。
一実施形態では、基板が提供される。基板は、化学的に修飾された表面を有するSnOx層を含み、化学的に修飾された表面は、疎水性表面処理化合物の単層を含む。基板はまた、SnOx層の化学的に修飾された表面に接触するフォトレジスト層を含む。
これらおよび他の利点は、明細書全体および特許請求の範囲を読むと当業者によって理解されるであろう。
実施形態は、添付の図面と併せて以下の説明を参照することによって、最もよく理解することができる。
図1は、一実施形態による、EUVリソグラフィシステムにおいてマスクまたはレチクルを通してEUV放射に曝露されるスタックの概念図であり、スタックは、フォトレジストとSnO2層との間に接着剤の介在層を含む。
図2は、一実施形態による、EUVリソグラフィシステムにおいてマスクまたはレチクルを通してEUV放射に曝露されるスタックの概念図であり、フォトレジストは、未修飾のSnO2層に直接塗布される。
図3は、一実施形態による、EUVリソグラフィシステムにおいてマスクまたはレチクルを通してEUV放射に曝露されるスタックの概念図であり、フォトレジストは、疎水性表面処理で修飾されたSnO2層に直接塗布される。
図4Aは、一実施形態による、基板上に存在するSnO2層に疎水性表面処理を塗布するために使用されるチャンバの概念図である。
図4Bは、一実施形態による、疎水性表面処理を有するSnO2層上にフォトレジストの層を塗布するプロセスにおけるフォトレジストスピンコータを示す概念図である。
図5Aは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Bは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Cは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Dは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Eは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Fは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Gは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。 図5Hは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。
図6Aは、特定の実施形態による、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示す図である。 図6Bは、特定の実施形態による、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示す図である。 図6Cは、特定の実施形態による、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示す図である。 図6Dは、特定の実施形態による、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示す図である。
図7Aは、一実施形態による、およそ18nmピッチの線パターンでエッチングされたSnO2層の走査型電子顕微鏡(SEM)画像を示す図である。 図7Bは、一実施形態による、およそ18nmピッチの線パターンでエッチングされたSnO2層の走査型電子顕微鏡(SEM)画像を示す図である。
以下の詳細な説明は、例示の目的で多くの具体的な詳細を含むが、当業者は、以下の詳細に対する多くの変形および変更が本開示の範囲内にあることを理解するであろう。したがって、以下に説明する本開示の態様は、この説明に続く特許請求の範囲に対して一般性を失うことなく、また制限を課すことなく記載されている。
SnOx層(例えば、xは1〜8以上である)は、様々な用途の基板処理で使用されると考えられる。本開示は、SnOxyの堆積層(=1〜8以上であり、yは堆積プロセスに応じて変化する)に適用されることを理解されたい。典型的には、SnOx膜における酸素対スズの比は、様々な堆積パラメータに応じて約2:1となる可能性がある。したがって、以下の詳細な説明の多くは、酸化スズ膜および酸化スズ層の非限定的な例示的化学構造としてSnO2を使用する。しかし、これは例示が目的であり、本開示の利点をSnO2に制限する意図はない。当業者は、ここに提示および説明される利点が、SnO、SnO2、SnO3、SnO4、SnO6、SnO8などを含む酸化スズの多くの化学量論および酸化状態に適用されることを容易に認識するであろう。
いくつかの実施形態では、SnO2層の用途には、極端紫外線(EUV)ハードマスク(HM)として、パターニング用のスペーサおよびマンドレルコアとして、ギャップフィル酸化物として、ハードマスクとして、ならびにエッチング停止層としての使用が考えられる。SnO2膜は、いくつかの方法で基板上に堆積することができる。1つの化学気相堆積(CVD)プロセスでは、基板は、原子状酸素の存在下でスズを含む気相反応物(例えば、Sn(CH34、SnCl4、Snなど)に曝露され、基板上にSnO2の層を形成する。加えて、SnO2膜は、原子層堆積(ALD)によって堆積することができる。
SnO2をパターニングできる1つの方法は、EUVリソグラフィを使用することである。フォトレジストは、EUV放射への曝露のためにSnO2層の上に塗布される。EUV放射中、マスクまたはレチクルを使用して、所望のパターンをフォトレジスト上に転写する。124nm〜10nmの範囲のEUV光(10eV〜124eVの光子エネルギーに対応する)を使用して、フォトレジストおよびその下のSnO2層を照射する。いくつかの実施形態では、5nmまでの波長など、beyond ultraviolet light(BEUVL)を使用してもよい。EUV光子がフォトレジスト内の分子によって吸収されると、光酸発生剤の光解離を引き起こして光酸を発生させる可能性があり、これがさらなる反応を引き起こしてフォトレジストを現像剤溶液(通常はアルカリ性溶液)に可溶にする。
光酸が生成される別のメカニズムは、EUV光子の吸収による光電子の生成によるものである。このようにして生成された光電子(例えば、一次電子)は、フォトレジストポリマーマトリックスまたはSnO2結晶マトリックス内でランダムに散乱する。散乱してエネルギーを失う過程で、光電子は、一般にフォトレジストまたはSnO2内のいくつかの分子をイオン化する。光電子によって引き起こされるこれらのイオン化イベントによって二次電子が生成され、これが光酸生成につながる。フォトレジスト内のポリマーは酸に化学的に敏感であり、光酸が生成されるフォトレジスト内の領域が可溶性になり、現像剤溶液によって除去可能になる。二次電子の生成は、光酸生成の主な原因であることが見出されている。
SnO2は、EUV光の強力な吸収剤である。EUV光子がSnO2によって吸収されると、光電子が発生する。光電子は、約50eV以下〜約100eV以上のエネルギーを有し、ランダムな方向に散乱することが観察されている。結果として、これらの光電子の一部はSnO2層からフォトレジスト層に伝播し、その途中でイオン化イベントを経て二次電子を生成する。任意の所与の光電子に対して、いくつかの二次電子が生成される場合があり、これらも確率論的に伝播する。二次電子がSnO2層からフォトレジストに伝播すると、光酸発生剤(PAG)中でイオン化イベントが発生する。得られた酸は、酸に不安定な保護基(例えば、フォトレジストの不溶性を誘発する基)を攻撃し、典型的には、アルカリ性溶液(例えば、現像剤)に可溶なヒドロキシル基で保護基を置き換える。
光電子と二次電子の両方は、そのエネルギーに依存する有限の平均自由行程を有する。例えば、各イオン化イベントは、追加のイオン化イベントを発生させずに吸収される程度に低いエネルギーになるまで、そのエネルギーを低減する。ある推定では、10eV〜100eVのエネルギーを有する二次電子の平均自由行程は、フォトレジスト内で20nm〜1nmの範囲にある。結果として、量子収率または量子効率(例えば、単位光子あたりの酸生成イベントの数)は、SnO2吸収イベントとフォトレジスト層の間の分離距離の関数である。したがって、量子収率を増加させ、所望のパターンをフォトレジスト上に転写するために必要なEUV放射線量を減少させるために、SnO2とフォトレジスト層の間の距離を最小化することが望ましい。EUV線量を減少させると、曝露時間が短縮され、コストが削減され、かつ処理時間が速くなる。しかし、フォトレジストとSnO2層の間の距離を最小化すること(例えば、フォトレジストをSnO2層に直接塗布することによる最小化)に関連する課題が存在する。
SnO2表面は、SnO2結合の極性、および極性−極性相互作用に関与する能力に起因して親水性である。いくつかの実施形態では、SnO2表面は、約1°〜30°の水接触角(WCA)に関連していることが見出された。WCAが低いこと(例えば、90°未満)は親水性に関連し、WCAが高いこと(例えば、90°超)は疎水性に関連する。WCAの経験的測定値は、とりわけ、表面の滑らかさもしくは粗さ、表面のトポロジー、格子特性、表面格子における酸素空孔、層の厚さ、不純物、および/または層内の汚染を含む様々な要因に依存する。
一方、EUVリソグラフィで使用されるフォトレジストは、一般に、非極性基および高分子有機材料の存在に起因する疎水性によって特徴付けられる。疎水性分子と親水性分子との相互作用は疎水性材料とそれ自体との疎水性−疎水性相互作用よりも熱力学的に有利ではないため、疎水性材料は親水性表面に接着しない傾向がある。結果として、疎水性フォトレジストを親水性SnO2表面上に直接塗布すると、スピンオン時に表面に均一に接着せず、SnO2表面全体にビードを形成したり厚さが不規則になったりする場合がある。フォトレジスト層におけるこれらの不規則性および不均一性の結果、フォトレジスト層とSnO2層の全体にわたって量子収率が不均一になる場合がある。これにより、フォトレジスト内での光酸生成に不規則で意図しない偏差が生じる。フォトレジストをSnO2層上に直接塗布すると、これに続くSnO2層のエッチング後にパターンが崩壊する程度までラインエッジラフネスおよび線幅変動が増加する。
フォトレジストのSnO2表面への接着性が不十分であることに対処するために、1つまたは複数の介在層(例えば、スピンオンカーボン)をフォトレジストとSnO2との間に載置することができる。フォトレジストがSnO2に対して有する接着性と比較すると、介在層はフォトレジストとSnO2の両方に対してより強い接着性を有する。結果として、ビード形成、または厚さおよび/もしくはトポロジーにおける他の不規則性を伴うことなく、フォトレジストを介在層に塗布することができる。これに続くSnO2のエッチングは、介在層ありでフォトレジストをSnO2層に塗布したときに観察される程度のパターン崩壊を起こさずに達成され得る。しかし、介在層はフォトレジスト層における二次電子の量子収率を低下させるため、マスクまたはレチクルによって定義されたパターンをフォトレジスト上に転写するには、より高いEUV放射線量が必要である。例えば、二次電子の平均自由行程はおよそ10〜20nmであるため、SOCの10〜20nmの介在層は、フォトレジストに移動する二次電子の割合を大幅に低減する。したがって、EUVリソグラフィを使用してSnO2をパターニングするための方法およびシステムの改良をここで検討する。
図1は、一実施形態による、EUVリソグラフィシステム100においてEUV放射104に曝露されるスタック101の概念図を示す。EUV光源102は、レーザ駆動プラズマ光源から生成され得る約10nm〜約124nmのEUV放射104を放出する。いくつかの実施形態では、波長は約13.5nmであると考えられる。マスク106は、パターニング用に設計されたEUV放射104のみをスタック101に到達させる。なお、EUVリソグラフィシステム100は、図1に記載の概念を曖昧にしないために図示していない多くの異なる構成および追加の構成要素を有してもよいことに留意されたい。例えば、EUVリソグラフィシステムは、コレクタ、ミラー、投影光学系、照明器などのようないくつかの光学構成要素を含んでもよい。加えて、マスク106の構成は、図1に示すマスク106の代わりに、レチクルまたは反射マスクであってもよい。
図示されるスタック101は、スピンオンカーボン(SOC)などの接着剤110の層に接着されるフォトレジスト108を含んでおり、この接着剤110の層が、パターニングが望まれるSnO2の層112に接着する。SnO2層112と基板114(シリコンウエハであってもよい)の間のスタックには、1つまたは複数の層を含めることができる。接着剤110の層は、約1nm〜約10nmであることが示されている。
図1には、いくつかの代表的なEUV光子吸収イベントが示されている。例えば、吸収イベント116では、EUV光子が、フォトレジスト分子によって吸収され、フォトレジスト分子のイオン化を引き起こすことができる。吸収およびイオン化イベントは、EUV光子よりも低いエネルギーを有する光電子を生成し、この光電子は、イオン化されたフォトレジスト分子から伝播していくつかの二次電子を生成する。これらの二次電子は、光酸発生剤と相互作用して酸(例えば、H+)を生成する。酸生成イベントであっても、二次電子は、いくらかのエネルギーを失う。いくつかの推定では、二次電子は、酸生成イベントのたびに約2〜4eVを失う。
別の吸収イベント118では、接着剤110の層のSOC分子が、光電子を生成することなくEUVを吸収する。光電子が光電効果によって生成されると、入射光子は、材料内に電子を放出するために、材料の仕事関数(例えば、電子結合エネルギー)よりも多くのエネルギーを移動させなければならない。入射光子エネルギーが低すぎると、電子は材料から逃げず、光電子は生成されない。SnO2と比較して、SOC分子はより高い電子結合エネルギーを有すると見られており、したがってEUV照射からの光電子の放射体としては、より貧弱である。入射光子の多くは、光電子を生成することなくSOCによって吸収される。二次電子の量子収率は、光酸生成およびパターン転写に十分な光電子および二次電子を生成するためにEUV線量(例えば、EUV強度、または曝露期間)を約2倍〜約10倍以上増加させなければならない程度まで減少する。
別の吸収イベント120では、EUV光子は、SnO2層112のSnO2分子によって吸収され、光電子およびいくつかの二次電子を生成する。二次電子は、固体材料中の二次電子の散乱効果により、ランダムに散乱することが図示されている。散乱イベント(例えば、偏向、二次電子経路の分岐)は、二次電子とSnO2層中の原子との原子相互作用によるものである。これらのいくつかには、弾性散乱、イオン化、およびプラズモンまたは分子励起子の生成が含まれる。いずれにせよ、二次電子の平均自由行程は、約10nm〜20nmとなる可能性がある(参考として円周122によって示す)。したがって、1nm〜10nmの接着剤110の介在層が存在することにより、酸生成のためにフォトレジスト108に移動できる二次電子の割合が減少する。結果として、接着剤110によって二次電子の量子収率が減少し、フォトレジスト108内のパターン転写に必要な光酸生成量を達成するために、より多くの線量または曝露時間が必要になる。
また、図1に示されるグラフ図124は、スタック101の構成について、距離の関数としての光酸生成速度を表す代表的な曲線126を示している。理想的には、曲線128に示すように、光酸はパターンエッジの外側ではなく、パターンエッジ間の空間内で生成される。場合によっては、所望のパターンエッジの外側のフォトレジスト108における光酸の生成は、フィーチャエッジにおける数々の偏差の中でもとりわけ、欠陥、コントラストの喪失、解像度の喪失、ラインエッジラフネス(LER)、線幅変動(LWV)、限界寸法の喪失、およびパターン崩壊をもたらす。状況によっては、二次電子の散乱効果がパターンエッジにおける偏差に寄与する場合がある。したがって、ここで説明する実施形態(図3参照)は、図1に示すスタック101と比較して、SnO2層112へのパターン転写後のパターンエッジにおける偏差(例えば、LERおよびLWV)を低減することが可能であると考えられる。さらに、ここで考えられる実施形態はまた、図1に示すスタック101と比較して、フィーチャをSnO2層112上に印刷するために必要なEUV線量の低減(例えば、EUV光強度および/または曝露時間の低減)を可能にする。
図2は、一実施形態による、EUVリソグラフィシステム100においてマスク106またはレチクルを通してEUV放射104に曝露されるスタック201の概念図を示す。スタック201は、フォトレジスト204がSnO2層206に直接塗布されたものであり、フォトレジスト204とSnO2層206の両方が、基板208との間に1つまたは複数の層を挟んで、基板208の上に設けられている。フォトレジスト204は高分子有機物を含む傾向があるので、比較的疎水性である(例えば、約90°以上のWCAを有する)。一方、SnO2層206は、比較的親水性である傾向があり、約1°〜約20°のWCAを有する。結果として、フォトレジスト204は、塗布されたときにSnO2層206への接着性が不十分である。例えば、フォトレジスト204の厚さの不均一性、いくつかの領域におけるフォトレジスト204のビード形成、フォトレジスト204とSnO2層206との界面203における非接触のポケット、および他の偏差が存在する可能性がある。これらの偏差は、フォトレジスト204が現像され、SnO2層206がエッチングされるときのパターン崩壊の原因であると提示されている(未修飾のSnO2層にフォトレジストを直接塗布することによるパターン崩壊の画像については、図7A参照)。
これらの偏差のいくつかを、フォトレジスト204の上面200aおよびフォトレジスト204の下面200bに図示する。例えば、上面200aは、実質的に平面ではない。同じことが下面200bにも当てはまる。これらの偏差のいくつかは、疎水性フォトレジスト204と親水性SnO2層206との熱力学的に有利ではない分子相互作用のために形成されると仮定される。フォトレジスト204がソフトベークまたはハードベークを受けると、これらの偏差または変形が残り、場合によっては悪化する可能性がある。一例では、フォトレジスト204がソフトベークまたはハードベーク中に熱を受けると、フォトレジスト204とSnO2層206との界面203に非接触の小さなポケットが形成されることがある。結果として、フォトレジスト204は、形状、厚さ、およびSnO2層206との接触の連続性が不規則になる可能性がある。
加えて、フォトレジスト204をSnO2層206上にスピンコーティングする際のスピン速度が低いことも、偏差が形成される原因として考えられる。スピン速度は、フォトレジスト204が遠心力によりSnO2層206から分離しないようにするため低くされる。しかし、スピン速度を低くすることは、フォトレジスト204をSnO2層206全体に均一にコーティングする効果を低くすることにもなる。
図示される吸収イベント202は、図1の吸収イベント116と同様に発生する。別の吸収イベント218では、EUV光子がSnO2分子によって吸収され、光電子およびいくつかの二次電子を放出する。フォトレジスト204における偏差は、後でSnO2層206へのパターン転写中にパターン崩壊を引き起こすような形で、フォトレジスト204への二次電子収集に影響を与えることが提示されている。観察されたパターン崩壊を引き起こすメカニズムが、いくつか提示されている(図7A参照)。1つのメカニズムでは、フォトレジスト204の厚さの不規則性が、SnO2層206に到達するEUV放射の線量に影響を与えると仮定されている。結果として、SnO2 206からの二次電子放出は、SnO2層206全体にわたって不規則になり、パターン崩壊に寄与することになる。
パターン崩壊に寄与すると考えられる別のメカニズムでは、非接触のポケットがSnO2層206とフォトレジスト204の間の二次電子の伝達に対して、不均一に悪影響を及ぼす場合がある。二次電子は、特定のエネルギーで放出されると、波状の特性を有する。二次電子の波は、ドブロイの式によって表される波長を有する:
Figure 2021534584
方程式(1)において、hはプランク定数、pは二次電子の運動量である。また、pはme*vで代用される(ここで、meは電子の質量、vはその速度である)。
例えば、電子ビームからの電子が光子と同様に回折および屈折する可能性があることは当技術分野でよく理解されている。EUV光子吸収イベントの結果として生成される二次電子も、同様である。例えば、二次電子は界面203を横切るときに屈折を受ける可能性があり、これにより散乱が増加する一方、感度、特異性、およびコントラストが減少する。ある仮定の例では、非接触のポケットが存在する場合、二次電子がSnO2層206から界面203に入射すると、SnO2の屈折率と非接触のポケットの真空状の空間の屈折率との差により、二次電子が全反射(TIR)する可能性がある。同様に、界面203での疎水性−親水性接触は、疎水性−疎水性接触よりも二次電子の屈折を促進する可能性がある。いずれにせよ、フォトレジスト204が未修飾のSnO2層206上に直接塗布される場合に観察されるのは、二次電子の散乱の増加に起因する解像度、コントラストの減少と、最終的なパターン崩壊である。
また、図2に示されるグラフ図210は、距離の関数としての光酸生成速度を、理想的な曲線128および例示的な曲線212を用いて表している。接着剤110が介在するスタック101の曲線126と比較すると、標的領域(例えば、パターンエッジの内側)における光酸生成速度は、スタック201の曲線212のほうがより高い。しかし、曲線212はまた、非標的領域(例えば、エッジパターンの外側)における光酸生成速度も高いことを実証している。非標的領域における光酸生成速度が高いことは、上記で仮定されたいくつかのメカニズム、すなわち、フォトレジスト204の頂面200aおよび底面200bの非平面性、界面203における非接触のポケットの存在、界面203における疎水性−親水性相互作用の存在、フォトレジストのビード形成(図示せず)、ならびにSnO2層206に関連するフォトレジスト204の形状、厚さ、トポロジー、および近接性における他の不規則性によって引き起こされると考えられる。上記で論じたように、これらの不規則性は、界面203を横切る二次電子の散乱を増加させる可能性がある。光酸が非標的領域で生成されるため、これらの非標的領域は現像剤に可溶になり、パターン崩壊を生じる可能性がある。
図3は、一実施形態による、EUVリソグラフィシステム100においてマスク106またはレチクルを通してEUV放射104に曝露されるスタック301の概念図を示す。スタック301は、SnO2層304の上面の疎水性表面処理302に塗布されたフォトレジスト300を含む。疎水性表面処理302は、フォトレジスト300が図2に示す不規則性を形成することなくSnO2層304に接着するように親水性SnO2層304の親水性を低下させる修飾を施す1つまたは複数の化合物の単層であると考えられる。疎水性表面処理302の厚さは、縮尺通りに描かれていない。
いくつかの実施形態では、疎水性単層は、SnO2層304をアミノシランまたはアミノスズガス状化合物に曝露することによって形成される。いくつかの例示的なアミノシランおよびアミノスズ化合物については、より詳細に後述する。アミノシランまたはアミノスズガス状化合物は、SnO2層304の上面付近に露出したSnO2分子と反応し、自己組織化して単層になる。他の実施形態では、アミノシランまたはアミノスズ化合物は、反応のために液体であってもよい。加えて、他の実施形態では、疎水性表面処理302として、疎水性化合物の2つ以上の層を使用してもよい。
いくつかの実施形態では、SnO2層304のWCAは、約5°以下〜約100°以上、または約10°〜約80°、または約20°〜約50°増加する。例えば、SnO2層304が約1°〜20°のWCAを有する場合、疎水性表面処理302は、SnO2層304のWCAを約30°〜約120°以上に増加させると考えられる。
疎水性処理302を有するSnO2層304にフォトレジスト300が塗布されると、SnO2層304へのフォトレジスト300の接着性が改善される。接着性の改善によって、フォトレジスト300とSnO2層304との界面300での接触均一性がより高くなり、フォトレジスト300の平面性のレベルがより高くなり、かつフォトレジスト300の厚さの均一性がより高くなる。界面303での疎水性−疎水性相互作用は、疎水性−親水性相互作用と比較して、より熱力学的に有利な相互作用であるため(例えば、プリベークとポストベークの両方)、フォトレジスト300とSnO2層304の接触の安定性を増加させると仮定されている。
接触安定性の増加により、フォトレジスト300とSnO2層304との接触がより均一で連続的になると考えられる。加えて、接着性の向上により、二次電子の追加の散乱に寄与する非接触のポケットが減少するか、または無くなると考えられる。結果として、接着剤110が介在するスタック101と比較して二次電子の量子収率が改善され、一方で疎水性表面処理302を伴わないスタック201と比較して二次電子収集の特異性も改善される。二次電子収集の特異性が改善されることにより、図7Bに示すように、パターンが崩壊することなくパターンが転写される。
吸収イベント308では、SnO2分子がEUV光子のエネルギーを吸収し、いくつかの二次電子を発生する一次電子(例えば、光電子)を放出する。二次電子が界面303を横切るときに受ける屈折は、界面203を横切るときよりも少ない可能性がある。加えて、界面303はより連続的な接触を実証しているので、全反射はそれほど要因とはならない。結果として、フォトレジスト300に入る二次電子の散乱効果は、それほど存在しない。さらに、フォトレジスト300の厚さは、疎水性表面処理302によってさらに一定にできるので所与の曝露領域にわたって発生するEUV光子吸収イベントの速度の均一性も改善される。
また、図3に示されるグラフ図310は、距離の関数としての光酸生成速度を、理想的な曲線128および例示的な曲線312を用いて表している。接着剤110が介在するスタック101の曲線126と比較すると、スタック301の曲線312は、標的領域(例えば、パターンエッジの内側)における光酸生成速度がより高いことを示している。疎水性表面修飾302のないスタック201の曲線212と比較すると、曲線312は、非標的領域(例えば、エッジパターンの外側)における光酸生成速度がより低いことを示している。結果として、疎水性表面処理302を用いることにより、光酸生成における空間的特異性をより高くすることができ、パターン崩壊なしにパターン転写を行うことができる。パターン崩壊の回避に加えて、疎水性表面処理302は、フィーチャをフォトレジスト上に印刷するためのEUV線量および/または曝露時間を、SOCなどの接着剤が介在するスタックに必要とされるEUV線量および/または曝露時間よりも少なくすることが可能である。
図4Aは、一実施形態による、基板406上に存在するSnO2層404に疎水性表面処理401を塗布するために使用されるチャンバ400の概念図を示す。疎水性表面処理化合物供給部402は、SnO2層404の上面と反応させるために化合物をチャンバに供給する。疎水性表面処理401に使用される化合物として、多くの化合物が考えられる。いくつかの実施形態では、アミノシランまたはアミノスズ化合物などのアミノ有機メタロイド/アミノ有機金属を使用することができる。これらのいくつかは、以下の化学構造によって表される:
Figure 2021534584
Figure 2021534584
Figure 2021534584
Figure 2021534584
(2)〜(5)において、Rはアルキル基である。例えば、いくつかの実施形態では、疎水性表面処理化合物は、(CH32Si[N(CH322、(CH33SiN(CH32、(CH32Sn[N(CH322、または(CH33SnN(CH32、または前述の組み合わせなどのアルキルシランおよびアルキルスズ化合物を含み得る。加えて、HMDS(ヘキサメチルジシラザン、HN[Si(CH332)を使用して、トリメチルシリル(TMS)基をSnO2表面に移動させることも考えられる。上述の疎水性表面処理化合物は、SnO2表面と反応して、フォトレジストの接着性が向上するようにSnO2表面の疎水性を高める非限定的な例示的な化合物として提供される。ほかにも、SnO2表面と反応して、フォトレジスト接着のためにSnO2表面の疎水性を高める能力を有することから同様に本開示の範囲および精神に含まれる他の疎水性表面処理化合物が存在し得ることを、当業者は認識するであろう。追加の非限定的な例を以下に提供する。
アルキルシランおよびアルキルスズの場合、H2Oの存在を利用して、SnO2表面との反応を進行させることができることに留意されたい。基板からの固有の水分が、アルキルシラン/スズの反応の発生に十分なH2Oを提供することもある。他の実施形態では、TMSまたはトリメチルスズ基の加水分解およびSnO2表面への移動のために、水蒸気を処理チャンバ400に供給してもよい。
さらに他の実施形態では、1〜20個以上の炭素原子を有する炭素鎖を持つアミノ基に反応性基(例えば、アルコキシル基)が結合されたシランまたはスズ原子を有する様々な化合物もまた、疎水性を高めるようにSnO2層404の表面を修飾すると考えられる。例えば、供給部402によって供給される疎水性表面処理化合物の組成は、以下の構造によって表すことができる:
Figure 2021534584
Figure 2021534584
概して、疎水性表面処理化合物は、SnO2と反応して(例えば、加水分解または他の方法によって)Sn原子と共有結合を形成し、同時に非極性基を含み、SnO2表面の疎水性の増加を促進する任意の化合物であると考えられる。本開示の範囲および精神に含まれるが、本開示の背景にある概念を不必要に曖昧にしないために提示されていない多数の追加の化学組成物、異性体、鏡像異性体などが存在することが当業者に理解されるであろう。
(6)と(7)の両方において、Rは、一般に炭素鎖から加水分解することができない有機官能基である。いくつかの実施形態では、Rは、NH2、NH3 +、CH3、NHCH3、N(CH32、N(C252、N(C372などである。また、(6)と(7)の両方において、nは、1〜30、または2〜20、または3〜5の間の数である。アルキル鎖が長いほど(例えば、n>10)、SnO2層404の表面の疎水性が高くなるよう促進される傾向がある。しかし、アルキル鎖が長いほど、疎水性表面処理プロセスが不確実にもなる。炭素数が一定のアミノ有機メタロイドを生成または調達することは、アルキル鎖が短い場合よりもアルキル基が長い場合のほうが困難である。そして、本実施形態の1つの目的は、疎水性表面処理における均一性を高くすることであるため、これを行うことは、アルキル基が長鎖であるほど困難になる可能性がある。
さらに、より長いアルキル基を有するアミノ有機メタロイドまたはアミノ有機金属(本明細書ではアミノ有機メタロイドと呼ぶ)は、SnO2層404のSnO2分子との反応速度を低下させることによって処理プロセスを遅くする可能性もあり、また、疎水性表面処理化合物によるSnO2層404の被覆率の均一性を低下させる可能性もある。したがって、炭素数2〜10のアルキル基は、反応速度、および被覆率の均一性を向上させるとともに、疎水性のレベルを向上させることができると考えられる。
さらに(6)および(7)を参照すると、X3は、1〜3つの加水分解性基(例えば、アルキルオキシ基)を含むと考えられる。例えば、一実施形態では、X3は、Xa=OH、COOH、またはOCH3などを有し、一方でXb,c=H、CH3、C25、C35を有し得る。別の実施形態では、X3は、Xa,b,c=OH、COOH、OCH3、OC25などを有し得る。いくつかの実施形態では、二脚型または三脚型シラン基を使用することができ、その組成は以下のように表される:
Figure 2021534584
Figure 2021534584
(8)および(9)において、Mは、SiまたはSnなどのメタロイド/金属である。(8)に示す化合物は最大6つのSn原子と結合することができ、(9)の化合物は最大9つと結合することができる。
いくつかの実施形態では、疎水性表面処理化合物は、SnO2層404の表面全体に単層を形成し得る。そのような考えられる単層は、処理化合物の1分子の厚さ(例えば、約5A〜約100A)を有する。いくつかの実施形態では、処理化合物は、「自己組織化単層」を形成し得る。自己組織化単層は、材料(ここでは、疎水性処理化合物)の1分子の厚さを有し、堆積中および反応中の化学的力の結果として生じる層である。さらに、2つ以上の加水分解性基(例えば、3つのOCH3基)を有するいくつかの実施形態では、各処理化合物分子は、SnO2層404と2つ以上のオキサン結合を形成するだけでなく、互いにシロキサン結合を形成する場合がある。
疎水性表面処理化合物は、気相であり、約5秒以下〜約180秒以上、または約10秒〜約60秒、または15秒〜30秒の間チャンバ400内のSnO2層404に曝露されると考えられる。アミノシランおよびアミノスズに対する処理曝露時間に関する実験結果のいくつかを、それぞれ図6Aおよび図6Cに示す。曝露時間は、使用する疎水性表面処理化合物によって異なり、化学的により複雑な(例えば、より長いアルキル鎖、分岐鎖、アルコキシ基の数)化合物は、同様のパーセンテージの被覆率または同様の疎水性の増加を達成するために、比較的長い曝露時間を必要とする可能性がある。
いくつかの実施形態では、チャンバ400への疎水性表面処理化合物の流量は、約5標準立方センチメートル/分(SCCM)〜約1000SCCM、または約10SCCM〜約100SCCM、または約20SCCM〜約40SCCMである。いくつかの実施形態では、ヘリウムなどのキャリアガスを、約20SCCM〜約200SCCM以上、または約50SCCM〜約100SCCMでチャンバ400に流し込む。いくつかの実施形態では、チャンバ400は、約室温〜約100℃、または約30℃〜約60℃、または約50℃の温度を維持するための1つまたは複数の加熱および/または冷却素子(図示せず)を含む。
さらに、いくつかの実施形態では、疎水性表面処理401は、SnO2層404上で約10%〜約100%の被覆率を有すると考えられる。この場合の被覆率は、疎水性表面処理化合物に結合したSn原子の数を、上記の条件下でそのような結合に関与できるSn原子の総数で割ったものとして表される。他の実施形態では、図4Aに示すプロセスで達成される被覆率は、約20%〜約99.99%、または約50%〜約99%、または約70%〜90%と考えられる。これらの範囲は、フォトレジストを未処理のSnO2層に塗布する場合と比較して、ラインエッジラフネス、線幅変動/粗さを改善し、パターン崩壊を回避するという観点からフォトレジストの接着性を向上させてパターン転写を改善するように、SnO2層404の表面の疎水性を高くすると想定される。
いくつかの実施形態では、疎水性表面処理401は、SnO2層404のWCAを約5°〜約100°、または約10°〜約80°、または約20°〜約50°増加させると見られている。WCAのこのような増加は、フォトレジストを未処理のSnO2層に塗布する場合と比較して、SnO2層404へのフォトレジストの接着性を向上させてLER、LWVの改善をもたらし、パターン崩壊を回避すると考えられる。
図4Bは、一実施形態による、疎水性表面処理401を有するSnO2層404上にフォトレジスト414の層を塗布するプロセスにおけるフォトレジストスピンコータ408の概念図を示す。フォトレジスト414は、フォトレジスト供給部410によって供給され、ディスペンサ412を介してSnO2層404上に塗布される。次に、チャック418に接続されたスピンドル420を介してウエハ406を回転させ、均一にフォトレジスト414を分配し、過剰なフォトレジストを1つまたは複数のエッジビードリムーバ(EBR)416によって吸引分離する。
典型的には、基板上に均一にフォトレジストを分配するため、フォトレジストの粘度に応じてスピン速度を高速にする(例えば、3000〜8000rpm)。疎水性表面処理化合物によって修飾されていないSnO2層にフォトレジストを塗布する場合、接着力の低さが理由で塗布したフォトレジストの大部分が遠心分離されるのを回避するために、スピン速度をより低速にする必要がある。このようにして得られたフォトレジスト層には、不均一な厚さ、ビード形成、非接触のポケットなどの不規則性が見られる。疎水性表面処理401は、未処理のSnO2層と比較して遠心分離が少ない状態でスピン速度をより高速にできるように、フォトレジスト414をSnO2層404に接着させることができる。このようにして得られたフォトレジスト414では、厚さ、トポロジー、およびSnO2層404との接触においてより高い均一性が見られる。
図5A〜図5Hは、特定の実施形態による、疎水性表面処理化合物によってSnO2表面がどのように修飾されるかを示す図である。図5Aは、SnO2層の表面で2つのSn原子に結合した酸素原子を示す。他の実施形態では、Sn原子は、プライミングステップでヒドロキシル化され得る。図5Bでは、例示的なアミノシラン前駆体(例えば、(OCH33Si(CH23NH2)が、オキサン結合を介して3つのSn原子と反応したことが示されている。図5Cでは、例示的なアミノスズ前駆体(例えば、(OCH33Sn(CH23NH2)が、3つのSn原子と反応したことが示されている。図5Dは、各々Sn原子と反応する2つの例示的なアミノシラン前駆体(例えば、OCH3Si(CH23NH2(CH32)を示す。このような反応のマクロ効果により、SnO2表面の疎水性およびSnO2表面へのフォトレジストの接着性が向上する。
図5Eは、3つのアルコキシル基を有するアミノメタロイドシラン前駆体で処理されたSnO2表面の一実施形態を示し、Mは、SiまたはSnなどのメタロイドまたは金属である。3つのアルコキシ基の1つはSn原子と反応し、他の2つは隣接するM原子と結合する。図5Fは、ヘキサメチルジシラザン(HMDS)、または(CH32Si[N(CH322、(CH33SiN(CH32で処理されたSnO2表面の一実施形態を示す。このような処理の結果、トリメチルシリル基がSnO2表面のSn原子に移動する。以下のスズ含有疎水性表面処理化合物を用いた同様の反応によって、SnO2をトリメチルスズ基で修飾することも可能である:(CH32Sn(N(CH322、(CH33SiN(CH32)、およびHN[Sn(CH332
図5Gは、二脚型シラン基で処理されたSnO2表面の一実施形態を示し、Mは、SiまたはSnなどのメタロイド/金属である。図5Hは、メタロイド/金属M原子を介して、およびアミノ基を介してSnO2表面のSn原子と結合を形成したアミノ有機メタロイドの一実施形態を示す。
図6A〜図6Dは、SnO2表面上でのアミノシランおよびアミノスズ処理の経験的結果を示しており、特定の実施形態によれば、アミノシランまたはアミノスズは、30℃〜60℃に維持されたチャンバに、蒸気として10〜50SCCMで導入される。図6Aは、処理時間および処理後の時間(例えば、キュー時間)の関数としてSnO2表面のWCAがどのように変化するかを示す。アミノシラン処理を行わない場合、WCAは20°弱であり、SnO2表面が非常に親水性であることを示している。未処理のSnO2のWCAは、48時間の期間にわたって20°から数度以内にとどまる。SnO2表面を30秒間アミノシランで処理すると、キュー時間が0時間のときのWCAはおよそ57°である。48時間の期間にわたるWCAの減少は約2°であり、疎水性表面処理が比較的安定していることを示している。
SnO2表面を90秒または180秒間アミノシランで処理した場合、表面のWCAが増加しないことが見出された。代わりに、30秒の処理と比較すると、90秒と180秒の処理の両方でWCAがわずかに減少する。さらに、90秒または180秒間アミノシランで処理された表面のWCAは、48時間のキュー時間の期間にわたって減少する傾向があり、180秒間処理された表面のほうが減少速度が大きいことが見出された。
図6Bは、30秒間のアミノシラン処理によって修飾されたSnO2表面について、処理から24時間後の表面上の水滴および対応する水接触角を示している。図6Bは、SnO2表面の疎水性が高くなり、親水性が低下することを実証している。この表面は、当技術分野における一般的な定義では「疎水性」と見なされないかもしれないが(例えば、疎水性はWCA>90°を意味する)、それでも、フォトレジストの接着性を向上させる程度まで疎水性が高められている。
図6Cは、アミノスズ処理の様々な処理期間および処理後の様々な時点で表面のWCAがどのように変化するかを示している。処理なし(例えば、アミノスズ:0秒)では、実験的なSnO2表面のWCAは、約1〜2°であることが見出された。アミノスズによる30秒、90秒、または180秒の処理後、表面のWCAはおよそ35°以上増加した。アミノシラン処理とは対照的に、図6Cでは、処理された表面のWCAが24時間の期間にわたって約5°わずかに増加する傾向があることに留意されたい。アミノシランとアミノスズの両方が、ほぼ同じ程度まで疎水性およびWCAを効果的に増加させることも見出された。疎水性の絶対変化が最大になるのは30秒間のアミノシラン処理であるが(例えば、WCAが38°増加する)、アミノスズ処理は処理時間が異なる場合でもより一貫性があることが示されている。
図6Dは、90秒間アミノスズで処理されたSnO2表面について、処理から24時間後の表面上の水滴を示している。この場合も、図6Dに示す表面は、当技術分野における一般的な定義では技術的に「疎水性」と見なされないかもしれないが、パターン崩壊なしにパターン転写を実施できるようにフォトレジストの接着性が向上する程度まで疎水性が高められている。本明細書に関連して、アミノシランおよびアミノスズによる疎水性表面処理は、処理後70日以上、SnO2層上へのフォトレジストの接着性を向上させることが見出された。
図7Aおよび図7Bは、特定の実施形態による、およそ18nmピッチの線パターンでエッチングされたSnO2層の走査型電子顕微鏡(SEM)画像である。図7Aでは、EUVリソグラフィ用に、フォトレジストが未修飾のSnO2に直接塗布される。その結果、顕著なパターン崩壊が発生する。例えば、領域700および702は、本来あるべき場所にトレンチが形成されていないパターン転写の失敗を示す。領域704および706は、過度にエッチングされ、パターンに望まれるよりも広いトレンチを示す。領域708は、実質的なラインエッジラフネス、ならびに線幅変動および粗さを示す。領域710は、フィーチャの歪みおよびシフトを示す。これらの領域の各々は、疎水性表面処理を行わずにフォトレジストをSnO2に直接塗布すると、どのようにパターン崩壊が起こるかを示す例である。
図7Bは、フォトレジストを直接塗布する前に、SnO2を疎水性表面処理化合物(例えば、アミノシラン、またはアミノスズ)で処理したSnO2について、EUVリソグラフィを使用したパターニングを示す。表面修飾されたSnO2に対するフォトレジストの接着性が強化されたことにより、フォトレジストをより均一に、かつSnO2表面とより安定的に接触した状態で塗布することが可能になる。結果として、パターンは、より高い解像度、コントラスト、および特異性でフォトレジストに転写される。フォトレジストでのパターン転写の強化は、SnO2エッチング中のパターン転写の強化に引き継がれる。例えば、トレンチ712は、ラインエッジラフネスおよび線幅変動が改善された、明確に定義されたエッジを有する。さらに、パターン内の各トレンチは、線パターン転写の目に見える失敗なしに転写されている。加えて、図7Aに見られるパターンの歪みとは対照的に、転写プロセス後に目に見えるパターンの歪みは見られない。したがって、SnO2の疎水性表面処理は、約18nm以下のピッチに対して、後でSnO2内のパターン崩壊を伴うことなく、フォトレジストをSnO2に直接塗布することが可能であることが示されている。
疎水性表面処理化合物の送給を制御するための、およびフォトレジスト塗布のためのコンピュータプログラムは、任意の従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートラン他)で書くことができる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラム中で識別されたタスクを実施するためプロセッサによって実行される。
上記の実施形態を念頭に置いて、実施形態は、コンピュータシステムに格納されたデータを伴う様々なコンピュータ実装動作を用いることができることを理解されたい。これらの動作は、物理量を物理的に操作することを必要とする動作である。本明細書で説明されて実施形態の一部を構成するあらゆる動作は、有用な機械動作である。実施形態はまた、これらの動作を実施するためのデバイスまたは装置に関する。装置は、専用コンピュータなど、必要な目的のために特別に構築されてもよい。専用コンピュータとして定義されるとき、コンピュータは、その専用の目的のために動作可能でありつつ、専用の目的の一部ではない他の処理、プログラム実行、またはルーチンを実施することもできる。あるいは、動作は、コンピュータメモリ、キャッシュに格納されるかネットワークを介して取得される1つもしくは複数のコンピュータプログラムによって、選択的にアクティブ化または構成される汎用コンピュータによって処理されてもよい。ネットワークを介してデータが取得される場合、そのデータは、ネットワーク上の他のコンピュータ(例えば、計算資源のクラウド)によって処理されてもよい。
1つまたは複数の実施形態は、コンピュータ可読媒体上のコンピュータ可読コードとして製作することもできる。コンピュータ可読媒体は、データを格納することができる任意のデータストレージデバイスであり、データはその後コンピュータシステムによって読み取られ得る。コンピュータ可読媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み取り専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、ならびに他の光学および非光学データストレージデバイスを含む。コンピュータ可読媒体は、コンピュータ可読コードが分散方式で格納および実行されるように、ネットワーク結合コンピュータシステム上に分散されたコンピュータ可読有形媒体を含むことができる。
方法動作は特定の順序で説明されたが、各動作の間に他のハウスキーピング動作が実施されるか、または各動作がわずかに異なる時間に発生するように調整されるか、または各処理動作を処理に関連する様々な間隔で発生可能にするシステムに分散される場合があることを理解されたい。
前述の実施形態は、明確な理解のために多少詳しく説明されているが、開示された実施形態の範囲内で一定の変更および修正を実施できることは明らかであろう。本実施形態のプロセス、システム、および装置を実装する上で、多くの代替方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、実施形態は本明細書に述べられる詳細に限定されるべきではない。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
方法であって、
疎水性表面処理化合物を処理チャンバに導入することであって、前記処理チャンバは、SnO 2 層を備えた処理用基板を含み、前記疎水性表面処理化合物は、SnO 2 層の表面に、前記表面の疎水性を高める修飾を形成することと、
スピンコーティングによって前記SnO 2 層の前記表面上にフォトレジスト層を堆積することであって、前記SnO 2 層の前記表面の前記修飾は、スピンコーティング中の前記フォトレジストと前記SnO 2 層との接触の接着性を強化することと
を含む、方法。
適用例2:
適用例1の方法であって、
前記修飾は、前記フォトレジストと前記SnO 2 層との前記接触を前記スピンコーティング後に直接かつ連続的なものとすることを可能にする、方法。
適用例3:
適用例1の方法であって、
前記修飾は、フォトレジストが前記修飾なしで前記SnO 2 層に塗布されるときよりも、前記スピンコーティング後の前記フォトレジストの厚さをより均一にすることを可能にする、方法。
適用例4:
適用例1の方法であって、
前記修飾は、前記SnO 2 層の前記表面上に前記疎水性表面処理化合物のコーティングを形成し、前記フォトレジストと前記コーティングの間の介在層なしに前記フォトレジストを前記SnO 2 層上に直接堆積することを可能にする、方法。
適用例5:
適用例1の方法であって、
前記フォトレジストと前記SnO 2 層との前記接触の前記強化された接着性は、フォトレジストが前記修飾なしで前記SnO 2 層の前記表面に塗布されるときに生じる親水性−疎水性分子間力よりも熱力学的に有利な疎水性−疎水性分子間力によって媒介される、方法。
適用例6:
適用例1の方法であって、
前記フォトレジストがリソグラフィ中に極端紫外線(EUV)光に曝露されるとき、前記フォトレジストと前記SnO 2 層との接触の前記強化された接着性は、前記フォトレジストと前記SnO 2 層との間に介在層がある場合よりも、前記SnO 2 層からの二次電子収集を高速にすることを可能にする、方法。
適用例7:
適用例1の方法であって、
前記フォトレジストがリソグラフィ中に前記フォトレジストへのパターン転写のためにEUV光に曝露されるとき、前記フォトレジストと前記SnO 2 層との接触の前記強化された接着性は、フォトレジストが前記修飾なしで前記SnO 2 層に塗布される場合よりも、前記パターン転写の解像度を高くすることを可能にする、方法。
適用例8:
適用例1の方法であって、
前記疎水性表面処理化合物は、前記SnO 2 層のSn原子と反応し、前記疎水性表面処理化合物の単層を形成する、方法。
適用例9:
適用例1の方法であって、
前記疎水性表面処理化合物は、アルコキシル基およびアミノ基を含み、前記アルコキシル基は、前記修飾のために前記SnO 2 層の前記表面のSn原子と反応し、前記アミノ基は、前記表面の前記疎水性を高めるために前記フォトレジストとの疎水性−疎水性相互作用に関与する、方法。
適用例10:
適用例1の方法であって、
前記疎水性表面処理化合物は、アミノシラン化合物またはアミノスズ化合物である、方法。
適用例11:
適用例1の方法であって、
前記疎水性表面処理化合物は、(CH 3 2 Si[N(CH 3 2 2 、(CH 3 3 SiN(CH 3 2 、(CH 3 2 Sn[N(CH 3 2 2 、(CH 3 3 SiN(CH 3 2 、HN[Si(CH 3 3 2 、またはHN[Sn(CH 3 3 2 の1つである、方法。
適用例12:
適用例1の方法であって、
前記疎水性表面処理化合物を前記処理チャンバに導入することは、前記修飾に十分な疎水性表面処理化合物を提供する5標準立方センチメートル/分(SCCM)〜100SCCMの流量によって特徴付けられる、方法。
適用例13:
適用例1の方法であって、
前記SnO 2 層の前記表面が、前記処理チャンバ内の前記疎水性表面処理化合物に10秒〜180秒間曝露され、前記疎水性表面処理化合物を前記表面と反応させる、方法。
適用例14:
適用例1の方法であって、
前記SnO 2 層の前記表面の前記修飾は、前記表面の水接触角(WCA)を少なくとも10°増加させる、方法。
適用例15:
方法であって、
基板上にSnO x 層を堆積することであって、前記SnO x 層の表面は、親水性であることと、
前記SnO x 層の前記表面を疎水性表面処理化合物と反応させ、前記表面の親水性を低下させることと、
前記SnO x 層上にフォトレジストをスピンコーティングすることであって、前記疎水性表面処理化合物と反応して親水性が低下した前記SnO x 層の前記表面は、前記フォトレジストと前記SnO x 層が直接接触するように、前記フォトレジストへの前記SnO x 層の接着性を向上させることと
を含む、方法。
適用例16:
適用例15の方法であって、
EUVリソグラフィを使用して前記SnO x 層上にパターンを転写することをさらに含み、前記フォトレジストと前記SnO x 層との前記直接接触によって、前記パターン内のフィーチャのラインエッジラフネスを低減する、方法。
適用例17:
適用例15の方法であって、
前記SnO x の前記表面を前記疎水性表面処理化合物と前記反応させることによって、前記SnO x 層から前記フォトレジストを分離する前記疎水性表面処理化合物の単層のみが存在するように自己組織化単層(SAM)が形成される、方法。
適用例18:
適用例15の方法であって、
前記疎水性表面処理化合物は、反応性基および疎水性基を含み、前記反応性基は、前記SnO x 層と結合し、前記疎水性基は、前記フォトレジストと相互作用し、前記フォトレジストへの前記SnO x 層の前記接着性の向上を引き起こす、方法。
適用例19:
適用例15の方法であって、
xは、1〜8である、方法。
適用例20:
適用例15の方法であって、
前記疎水性表面処理化合物は、(CH 3 2 Si[N(CH 3 2 2 、(CH 3 3 SiN(CH 3 2 、(CH 3 2 Sn[N(CH 3 2 2 、(CH 3 3 SnN(CH 3 2 、HN[Si(CH 3 3 2 、またはHN[Sn(CH 3 3 2 の1つである、方法。
適用例21:
基板であって、
化学的に修飾された表面を有するSnO x 層であって、前記化学的に修飾された表面は、疎水性表面処理化合物の単層を含むSnO x 層と、
前記SnO x 層の前記化学的に修飾された表面に接触するフォトレジスト層と
を備える、基板。
適用例22:
適用例21の基板であって、
前記疎水性表面処理化合物は、アミノシランまたはアミノスズ化合物であり、前記化学的に修飾された表面は、前記SnO 2 層を前記アミノシランまたは前記アミノスズ化合物に曝露して前記SnO 2 層と反応させることによって得られる、基板。
適用例23:
適用例21の基板であって、
前記疎水性表面処理化合物は、(CH 3 2 Si[N(CH 3 2 2 、(CH 3 3 SiN(CH 3 2 、(CH 3 2 Sn[N(CH 3 2 2 、(CH 3 3 SnN(CH 3 2 、HN[Si(CH 3 3 2 、またはHN[Sn(CH 3 3 2 の1つである、基板。

Claims (23)

  1. 方法であって、
    疎水性表面処理化合物を処理チャンバに導入することであって、前記処理チャンバは、SnO2層を備えた処理用基板を含み、前記疎水性表面処理化合物は、SnO2層の表面に、前記表面の疎水性を高める修飾を形成することと、
    スピンコーティングによって前記SnO2層の前記表面上にフォトレジスト層を堆積することであって、前記SnO2層の前記表面の前記修飾は、スピンコーティング中の前記フォトレジストと前記SnO2層との接触の接着性を強化することと
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記修飾は、前記フォトレジストと前記SnO2層との前記接触を前記スピンコーティング後に直接かつ連続的なものとすることを可能にする、方法。
  3. 請求項1に記載の方法であって、
    前記修飾は、フォトレジストが前記修飾なしで前記SnO2層に塗布されるときよりも、前記スピンコーティング後の前記フォトレジストの厚さをより均一にすることを可能にする、方法。
  4. 請求項1に記載の方法であって、
    前記修飾は、前記SnO2層の前記表面上に前記疎水性表面処理化合物のコーティングを形成し、前記フォトレジストと前記コーティングの間の介在層なしに前記フォトレジストを前記SnO2層上に直接堆積することを可能にする、方法。
  5. 請求項1に記載の方法であって、
    前記フォトレジストと前記SnO2層との前記接触の前記強化された接着性は、フォトレジストが前記修飾なしで前記SnO2層の前記表面に塗布されるときに生じる親水性−疎水性分子間力よりも熱力学的に有利な疎水性−疎水性分子間力によって媒介される、方法。
  6. 請求項1に記載の方法であって、
    前記フォトレジストがリソグラフィ中に極端紫外線(EUV)光に曝露されるとき、前記フォトレジストと前記SnO2層との接触の前記強化された接着性は、前記フォトレジストと前記SnO2層との間に介在層がある場合よりも、前記SnO2層からの二次電子収集を高速にすることを可能にする、方法。
  7. 請求項1に記載の方法であって、
    前記フォトレジストがリソグラフィ中に前記フォトレジストへのパターン転写のためにEUV光に曝露されるとき、前記フォトレジストと前記SnO2層との接触の前記強化された接着性は、フォトレジストが前記修飾なしで前記SnO2層に塗布される場合よりも、前記パターン転写の解像度を高くすることを可能にする、方法。
  8. 請求項1に記載の方法であって、
    前記疎水性表面処理化合物は、前記SnO2層のSn原子と反応し、前記疎水性表面処理化合物の単層を形成する、方法。
  9. 請求項1に記載の方法であって、
    前記疎水性表面処理化合物は、アルコキシル基およびアミノ基を含み、前記アルコキシル基は、前記修飾のために前記SnO2層の前記表面のSn原子と反応し、前記アミノ基は、前記表面の前記疎水性を高めるために前記フォトレジストとの疎水性−疎水性相互作用に関与する、方法。
  10. 請求項1に記載の方法であって、
    前記疎水性表面処理化合物は、アミノシラン化合物またはアミノスズ化合物である、方法。
  11. 請求項1に記載の方法であって、
    前記疎水性表面処理化合物は、(CH32Si[N(CH322、(CH33SiN(CH32、(CH32Sn[N(CH322、(CH33SiN(CH32、HN[Si(CH332、またはHN[Sn(CH332の1つである、方法。
  12. 請求項1に記載の方法であって、
    前記疎水性表面処理化合物を前記処理チャンバに導入することは、前記修飾に十分な疎水性表面処理化合物を提供する5標準立方センチメートル/分(SCCM)〜100SCCMの流量によって特徴付けられる、方法。
  13. 請求項1に記載の方法であって、
    前記SnO2層の前記表面が、前記処理チャンバ内の前記疎水性表面処理化合物に10秒〜180秒間曝露され、前記疎水性表面処理化合物を前記表面と反応させる、方法。
  14. 請求項1に記載の方法であって、
    前記SnO2層の前記表面の前記修飾は、前記表面の水接触角(WCA)を少なくとも10°増加させる、方法。
  15. 方法であって、
    基板上にSnOx層を堆積することであって、前記SnOx層の表面は、親水性であることと、
    前記SnOx層の前記表面を疎水性表面処理化合物と反応させ、前記表面の親水性を低下させることと、
    前記SnOx層上にフォトレジストをスピンコーティングすることであって、前記疎水性表面処理化合物と反応して親水性が低下した前記SnOx層の前記表面は、前記フォトレジストと前記SnOx層が直接接触するように、前記フォトレジストへの前記SnOx層の接着性を向上させることと
    を含む、方法。
  16. 請求項15に記載の方法であって、
    EUVリソグラフィを使用して前記SnOx層上にパターンを転写することをさらに含み、前記フォトレジストと前記SnOx層との前記直接接触によって、前記パターン内のフィーチャのラインエッジラフネスを低減する、方法。
  17. 請求項15に記載の方法であって、
    前記SnOxの前記表面を前記疎水性表面処理化合物と前記反応させることによって、前記SnOx層から前記フォトレジストを分離する前記疎水性表面処理化合物の単層のみが存在するように自己組織化単層(SAM)が形成される、方法。
  18. 請求項15に記載の方法であって、
    前記疎水性表面処理化合物は、反応性基および疎水性基を含み、前記反応性基は、前記SnOx層と結合し、前記疎水性基は、前記フォトレジストと相互作用し、前記フォトレジストへの前記SnOx層の前記接着性の向上を引き起こす、方法。
  19. 請求項15に記載の方法であって、
    xは、1〜8である、方法。
  20. 請求項15に記載の方法であって、
    前記疎水性表面処理化合物は、(CH32Si[N(CH322、(CH33SiN(CH32、(CH32Sn[N(CH322、(CH33SnN(CH32、HN[Si(CH332、またはHN[Sn(CH332の1つである、方法。
  21. 基板であって、
    化学的に修飾された表面を有するSnOx層であって、前記化学的に修飾された表面は、疎水性表面処理化合物の単層を含むSnOx層と、
    前記SnOx層の前記化学的に修飾された表面に接触するフォトレジスト層と
    を備える、基板。
  22. 請求項21に記載の基板であって、
    前記疎水性表面処理化合物は、アミノシランまたはアミノスズ化合物であり、前記化学的に修飾された表面は、前記SnO2層を前記アミノシランまたは前記アミノスズ化合物に曝露して前記SnO2層と反応させることによって得られる、基板。
  23. 請求項21に記載の基板であって、
    前記疎水性表面処理化合物は、(CH32Si[N(CH322、(CH33SiN(CH32、(CH32Sn[N(CH322、(CH33SnN(CH32、HN[Si(CH332、またはHN[Sn(CH332の1つである、基板。
JP2021507642A 2018-08-14 2019-08-12 EUVリソグラフィのためのSnO2表面の修飾 Active JP7401525B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/103,849 2018-08-14
US16/103,849 US11031244B2 (en) 2018-08-14 2018-08-14 Modification of SNO2 surface for EUV lithography
PCT/US2019/046243 WO2020036899A1 (en) 2018-08-14 2019-08-12 Modification of sno2 surface for euv lithography

Publications (2)

Publication Number Publication Date
JP2021534584A true JP2021534584A (ja) 2021-12-09
JP7401525B2 JP7401525B2 (ja) 2023-12-19

Family

ID=69522995

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021507642A Active JP7401525B2 (ja) 2018-08-14 2019-08-12 EUVリソグラフィのためのSnO2表面の修飾

Country Status (6)

Country Link
US (1) US11031244B2 (ja)
JP (1) JP7401525B2 (ja)
KR (1) KR20210033058A (ja)
CN (1) CN112640044A (ja)
TW (1) TWI814881B (ja)
WO (1) WO2020036899A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021101492A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren einer halbleitervorrichtung
JP2022163526A (ja) * 2021-04-14 2022-10-26 東京エレクトロン株式会社 基板処理方法
WO2023129144A1 (en) * 2021-12-29 2023-07-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tin-containing precursors for deposition of tin-containing thin films and their corresponding deposition processes
CN116053298B (zh) * 2023-01-28 2023-06-27 合肥晶合集成电路股份有限公司 一种半导体器件的制作方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02267298A (ja) * 1989-04-05 1990-11-01 Seiko Epson Corp 薄膜の形成方法および液晶装置用カラーフィルターおよび液晶装置
JPH0781979A (ja) * 1993-09-17 1995-03-28 Nippon Sheet Glass Co Ltd 防汚性ガラス物品
JP2008071864A (ja) * 2006-09-13 2008-03-27 Renesas Technology Corp 半導体装置の製造方法および半導体製造装置
JP2008225113A (ja) * 2007-03-13 2008-09-25 Mitsubishi Electric Corp 液晶表示装置、及びその製造方法
JP2013104954A (ja) * 2011-11-11 2013-05-30 Central Glass Co Ltd ウェハの表面処理方法及び表面処理液
JP2014010454A (ja) * 2012-06-29 2014-01-20 Sandos Tech Co Ltd ブランクマスク及びこれを用いるフォトマスクの製造方法
JP2016168524A (ja) * 2015-03-11 2016-09-23 株式会社日本触媒 複合粒子および粒子混合方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3549368A (en) * 1968-07-02 1970-12-22 Ibm Process for improving photoresist adhesion
US5312776A (en) * 1991-11-18 1994-05-17 Matsushita Electric Industrial Co., Ltd. Method of preventing the corrosion of metallic wirings
JP2906006B2 (ja) * 1992-10-15 1999-06-14 東京エレクトロン株式会社 処理方法及びその装置
KR100648770B1 (ko) * 1998-09-08 2006-11-23 마츠시타 덴끼 산교 가부시키가이샤 디스플레이 패널 제조방법
US20020051932A1 (en) * 2000-05-31 2002-05-02 Shipley Company, L.L.C. Photoresists for imaging with high energy radiation
JP2011018885A (ja) * 2009-06-12 2011-01-27 Seiko Epson Corp パターン膜形成部材の製造方法、パターン膜形成部材、電気光学装置、電子機器
US8651158B2 (en) * 2009-11-17 2014-02-18 The United States Of America, As Represented By The Secretary Of The Navy Processing microtitre plates for covalent immobilization chemistries
JP4527194B1 (ja) * 2009-12-11 2010-08-18 エンパイア テクノロジー ディベロップメント エルエルシー グラフェン構造体、グラフェン構造体の製造方法、及び電子デバイス
EP2583138B1 (en) 2010-06-15 2020-01-22 Carl Zeiss SMT GmbH Mask for euv lithography, euv lithography system and method for optimising the imaging of a mask
WO2013136667A1 (ja) * 2012-03-15 2013-09-19 凸版印刷株式会社 有機エレクトロルミネセンスデバイス及びその製造方法
JP6171490B2 (ja) 2013-03-29 2017-08-02 凸版印刷株式会社 Euv露光用マスクの製造方法
KR20160065917A (ko) * 2013-10-30 2016-06-09 후지필름 가부시키가이샤 감광성 수지 조성물, 경화막의 제조 방법, 경화막, 액정 표시 장치 및 유기 el 표시 장치
KR102370616B1 (ko) 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
KR20170003199A (ko) * 2015-06-30 2017-01-09 삼성전기주식회사 박막형 코일 부품 및 그 제조방법
US9741586B2 (en) * 2015-06-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structures
EP4273625A3 (en) * 2015-10-13 2024-02-28 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US9899219B2 (en) 2016-02-19 2018-02-20 Tokyo Electron Limited Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables
US10096477B2 (en) * 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02267298A (ja) * 1989-04-05 1990-11-01 Seiko Epson Corp 薄膜の形成方法および液晶装置用カラーフィルターおよび液晶装置
JPH0781979A (ja) * 1993-09-17 1995-03-28 Nippon Sheet Glass Co Ltd 防汚性ガラス物品
JP2008071864A (ja) * 2006-09-13 2008-03-27 Renesas Technology Corp 半導体装置の製造方法および半導体製造装置
JP2008225113A (ja) * 2007-03-13 2008-09-25 Mitsubishi Electric Corp 液晶表示装置、及びその製造方法
JP2013104954A (ja) * 2011-11-11 2013-05-30 Central Glass Co Ltd ウェハの表面処理方法及び表面処理液
JP2014010454A (ja) * 2012-06-29 2014-01-20 Sandos Tech Co Ltd ブランクマスク及びこれを用いるフォトマスクの製造方法
JP2016168524A (ja) * 2015-03-11 2016-09-23 株式会社日本触媒 複合粒子および粒子混合方法

Also Published As

Publication number Publication date
WO2020036899A1 (en) 2020-02-20
CN112640044A (zh) 2021-04-09
JP7401525B2 (ja) 2023-12-19
US20200058492A1 (en) 2020-02-20
TWI814881B (zh) 2023-09-11
KR20210033058A (ko) 2021-03-25
TW202036164A (zh) 2020-10-01
US11031244B2 (en) 2021-06-08

Similar Documents

Publication Publication Date Title
JP7401525B2 (ja) EUVリソグラフィのためのSnO2表面の修飾
TWI744252B (zh) 有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化
TW202016279A (zh) 圖案化有機金屬光阻及圖案化的方法
JP2021523403A (ja) Euvパターン化可能ハードマスクを形成するための方法
US8501394B2 (en) Superfine-patterned mask, method for production thereof, and method employing the same for forming superfine-pattern
TW388083B (en) Resist pattern-forming method using anti-reflective layer, resist pattern formed, and method of etching using resist pattern and product formed
US7704672B2 (en) Photosensitive silane coupling agent, method of modifying surface, method of forming pattern, and method of fabricating device
US20140268082A1 (en) Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20210011383A1 (en) Stabilized interfaces of inorganic radiation patterning compositions on substrates
TWI223126B (en) Method for forming fine pattern and resist surface treatment agent
US6673525B1 (en) Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
CN101278238B (zh) 具有保护性光学涂层的浸没光刻系统
Singhal et al. Modification of SNO 2 surface for EUV lithography
CN111607089B (zh) 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途
TWI842839B (zh) 官能性聚氫倍半矽氧烷樹脂組成物、產生其的方法及其用途
JPS62273528A (ja) ポリマ膜表面のシリル化方法およびこれを用いたパタ−ン形成方法
US8715915B2 (en) High-resolution photolithographic method for forming nanostructures, in particular in the manufacture of integrated electronic devices
KR102586109B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20230168583A1 (en) Patterning material and patterned film
TW202411776A (zh) 用於光微影的具有鍵合的摻雜劑之底層
CN105446074A (zh) 使用激光定向自组装嵌段共聚物的方法
TW202018025A (zh) 氫矽酸鹽樹脂塗佈組成物、產生組成物的方法、基板圖案化的方法、形成抗蝕膜的方法以及產生半導體裝置的方法
WO2001063359A2 (en) Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
JP2022507257A (ja) コンフォーマル膜の交互積層成長のための方法
KR20170091317A (ko) 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210608

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220810

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230801

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231031

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231121

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231207

R150 Certificate of patent or registration of utility model

Ref document number: 7401525

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150