JP2021518994A - 半導体層の上のグラフェン及びボロン窒化物ヘテロ構造デバイスの統合 - Google Patents

半導体層の上のグラフェン及びボロン窒化物ヘテロ構造デバイスの統合 Download PDF

Info

Publication number
JP2021518994A
JP2021518994A JP2020568951A JP2020568951A JP2021518994A JP 2021518994 A JP2021518994 A JP 2021518994A JP 2020568951 A JP2020568951 A JP 2020568951A JP 2020568951 A JP2020568951 A JP 2020568951A JP 2021518994 A JP2021518994 A JP 2021518994A
Authority
JP
Japan
Prior art keywords
region
layer
contact field
gate
field region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020568951A
Other languages
English (en)
Other versions
JP7293258B2 (ja
JPWO2019169392A5 (ja
Inventor
ヴェヌゴパル アーチャナ
ヴェヌゴパル アーチャナ
ルイジ コロンボ
コロンボ ルイジ
ポリー アラップ
ポリー アラップ
Original Assignee
テキサス インスツルメンツ インコーポレイテッド
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テキサス インスツルメンツ インコーポレイテッド, テキサス インスツルメンツ インコーポレイテッド filed Critical テキサス インスツルメンツ インコーポレイテッド
Publication of JP2021518994A publication Critical patent/JP2021518994A/ja
Publication of JPWO2019169392A5 publication Critical patent/JPWO2019169392A5/ja
Application granted granted Critical
Publication of JP7293258B2 publication Critical patent/JP7293258B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66015Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene
    • H01L29/66037Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66045Field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02395Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

マイクロ電子デバイス(100)が、半導体材料(106)の上のゲートグラフェン構成要素(102)を含む。ゲートグラフェン構成要素(102)は、グラフェンの少なくとも1つの層を有するグラファイト層(126)を含む。グラファイト層(126)はチャネル領域(128)を有する。第1の接続(134)及び第2の接続(136)が、チャネル領域(128)に近接するグラファイト層(126)への電気的接続を成す。グラファイト層(126)は半導体材料(106)から隔離される。第1の導電型を有するバックゲート領域(142)が、チャネル領域(128)の下の半導体材料(106)に配置される。第1のコンタクトフィールド領域(144)及び第2のコンタクトフィールド領域(146)が、それぞれ、第1の接続(134)及び第2の接続(136)の下の半導体材料(106)内に配置される。第1のコンタクトフィールド領域(144)及び第2のコンタクトフィールド領域(146)のうちの少なくとも1つが、第2の、逆の導電型を有する。

Description

本願は概してマイクロ電子デバイスに関し、特にマイクロ電子デバイス内のグラフェンに関する。
グラフェンはマイクロ電子デバイスの有望な材料である。ゲート(gated)グラフェン構成要素のための一般的に提案されているアーキテクチャは、基板上にグラフェンを含むグラファイト層であり、グラフェン上に金属コンタクトを備え、これらコンタクト間のグラフェン内にチャネル領域を備える。このアーキテクチャは、チャネル領域内及びコンタクトの下のグラフェンのキャリア密度が制御されないことに悩まされている。チャネル領域におけるキャリア密度は、ゲートグラフェン構成要素を動作させるように変調され得、一方で、デバイスの寄生抵抗を低減するために、コンタクトの下の高キャリア密度を維持することが望ましい。トランジスタなどの他の構成要素を備えるマイクロ電子デバイスに統合され得る構造において、コンタクトの下のキャリア密度の独立した制御を達成することは困難であった。
例示のマイクロ電子デバイスは、半導体材料の上のゲートグラフェン構成要素を含む。ゲートグラフェン構成要素は、グラフェンの一つ又はそれ以上の層を含むグラファイト層を含む。グラファイト層は、チャネル領域と、チャネル領域に隣接する第1のコンタクト領域と、チャネル領域に隣接する第2のコンタクト領域とを有する。グラファイト層は半導体材料から隔離されている。第1の導電型を有するバックゲート領域が、チャネル領域の下の半導体材料内に配置される。第1のコンタクトフィールド領域が、グラファイト層の第1のコンタクト領域の下の半導体材料内に配置され、第2のコンタクトフィールド領域が、グラファイト層の第2のコンタクト領域の下の半導体材料内に配置される。第1のコンタクトフィールド領域及び第2のコンタクトフィールド領域の少なくとも一方が、第2の逆の導電型を有する。
ゲートグラフェン構成要素を含む例示のマイクロ電子デバイスの断面である。
例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。 例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。 例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。 例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。 例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。 例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。 例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。 例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。 例示の形成の方法の或る段階において示される、ゲートグラフェン構成要素を含むマイクロ電子デバイスの断面である。
図面は一定の縮尺で描いてはいない。幾つかの行為又は事象が、異なる順で及び/又は他の行為又は事象と同時に起こり得るので、本記載は行為又は事象の例示される順によって限定されない。また、幾つかの例示される行為又は事象は、本記載に従った手法を実装するために任意選択である。
マイクロ電子デバイスが、グラフェンの一つ又はそれ以上の層を含むグラファイト層を有するゲートグラフェン構成要素を含む。グラファイト層は、チャネル領域と、チャネル領域に隣接する第1のコンタクト領域と、チャネル領域に隣接する第2のコンタクト領域とを含む。グラフェンは、チャネル領域から第1のコンタクト領域及び第2のコンタクト領域内へ延在する。グラファイト層は、半導体材料の上に配置され、半導体材料から隔離されている。グラファイト層の下の半導体材料は、チャネル領域の下のバックゲート領域と、第1のコンタクト領域の下の第1のコンタクトフィールド領域と、第2コンタクト領域の下の第2コンタクトフィールド領域とを有する。バックゲート領域は第1の導電型を有し、第1のコンタクトフィールド領域及び第2のコンタクトフィールド領域の少なくとも一方が、第2の逆の導電型を有する。ゲートグラフェン構成要素は、バックゲート領域とは反対側の、チャネル領域の上のゲートを含む。グラファイト層への電気的接続は、第1のコンタクト領域と第2のコンタクト領域において行われる。
頂部、底部、前、後ろ、〜の上、〜の上方、〜の下、及び〜より下などの用語が本記載において用いられ得る。これらの用語は、構造又は要素の位置又は向きを限定するものではなく、構造又は要素間の空間的な関係を提供するものである。
本記載において、或る要素が別の要素「上に」あると称される場合、それは他の要素の直接上に存在してもよいし、又は介在する要素が存在してもよい。同様に、或る要素が別の要素に「隣接する」と称される場合、それは他の要素に直接隣接していてもよいし、又は介在する要素が存在していてもよい。
図1は、ゲートグラフェン構成要素102を含む例示のマイクロ電子デバイス100の断面である。マイクロ電子デバイス100は、シリコン又は主にシリコン、シリコンカーバイド、ガリウムヒ化物、ガリウム窒化物、又は同様のものなどの半導体材料106を有する基板104を含む。基板104は、半導体ウェハの一部、例えば、場合によってはエピタキシャル層を有する単結晶シリコンウェハ、又はSOI(silicon on insulator)ウェハであってもよい。この例の幾つかのバージョンにおいて、図1に示されるように、半導体材料106はp型であり得る。他のバージョンにおいて、半導体材料106はn型であってもよい。ゲートグラフェン構成要素102に加えて、この例のマイクロ電子デバイス100は、pチャネル金属酸化物半導体(PMOS)トランジスタ108及びnチャネル金属酸化物半導体(NMOS)トランジスタ110を含む。
任意選択のグラフェン構成要素ウェル112が、ゲートグラフェン構成要素102の下の半導体材料106内にあり得る。この例の幾つかのバージョンにおいて、グラフェン構成要素ウェル112は、図1で示されるように、p型であり得る。他のバージョンにおいて、グラフェン構成要素ウェル112はn型であってもよい。この例では、p型ウェル114が、NMOSトランジスタ110の下の半導体材料106内にある。グラフェン構成要素ウェル112がp型であるこの例のバージョンでは、同時に形成される結果、グラフェン構成要素ウェル112内のp型ドーパントの分布は、p型ウェル114内のp型ドーパントの分布に実質的に等しくなり得る。同様に、この例では、n型ウェル116が、PMOSトランジスタ108の下の半導体材料106内にある。グラフェン構成要素ウェル112がn型であるこの例のバージョンでは、同時に形成される結果、グラフェン構成要素ウェル112内のn型ドーパントの分布が、n型ウェル116内のn型ドーパントの分布に実質的に等しくなり得る。
この例のゲートグラフェン構成要素102は、半導体材料106の上に隔離誘電体層118を含む。隔離誘電体層118は、例えば、二酸化シリコン、ハフニウム酸化物、タンタル酸化物、又はジルコニウム酸化物を含み得、2ナノメートル〜10ナノメートルの厚みを有し得る。PMOSトランジスタ108はPMOSゲート誘電体層120を含み、NMOSトランジスタ110はNMOSゲート誘電体層122を含む。隔離誘電体層118は、同時に形成される結果、PMOSゲート誘電体層120及びNMOSゲート誘電体層122のうちの少なくとも1つと実質的に等しい組成と厚みとなり得る。
ゲートグラフェン構成要素102は、隔離誘電体層118の上の下側六方晶ボロン窒化物(hBN)層124を含み得る。ゲートグラフェン構成要素102は、下側hBN層124上のグラファイト層126を含む。グラファイト層126はグラフェンの一つ又はそれ以上の層を含み、ベルナル構成を有し得、すなわち、グラフェンの各原子層が、直上及び直下の原子層からオフセットされ得る。グラファイト層126は、チャネル領域128と、チャネル領域128に隣接する第1のコンタクト領域130と、チャネル領域128に隣接する第2のコンタクト領域132とを有する。
ゲートグラフェン構成要素102は、第1のコンタクト領域130内のグラファイト層126上の第1の接続134と、第2のコンタクト領域132内のグラファイト層126上の第2の接続136とを含む。第1の接続134及び第2の接続136は、銅、ニッケルなどの、hBN及びグラフェンの沈殿に適した、一つ又はそれ以上の金属性要素を含み得る。第1の接続134及び第2の接続136の各々の上に障壁金属キャップ138が配置され得る。障壁金属キャップ138は、チタン窒化物、又は窒化タンタルなどの、ボロン及び窒素の拡散を低減する一つ又はそれ以上の材料を含み得る。障壁金属キャップ138の厚みは、例えば、10ナノメートル〜100ナノメートルとし得る。この例のゲートグラフェン構成要素102は、チャネル領域128内のグラファイト層126上のパターン化されたhBN層140を含み得る。
半導体材料106は、チャネル領域128の下のバックゲート領域142を含む。バックゲート領域142は第1の導電型を有する。この例の幾つかのバージョンにおいて、第1の導電型は、図1に示されるように、p型であり得る。他のバージョンにおいて、第1の導電型はn型であってもよい。半導体材料106は更に、第1のコンタクト領域130の下の第1のコンタクトフィールド領域144を含み、第2のコンタクト領域132の下の第2のコンタクトフィールド領域146を含む。第1のコンタクトフィールド領域144及び第2のコンタクトフィールド領域146のうちの少なくとも1つが、第1の導電型とは反対の第2の導電型を有する。この例の幾つかのバージョンにおいて、第1のコンタクトフィールド領域144及び第2コンタクトフィールド領域146は、共に第2の導電型を有し得る。第1の導電型がp型であるこの例のバージョンでは、第1のコンタクトフィールド領域144及び第2のコンタクトフィールド領域146は、図1に示されるように、両方ともn型であり得る。第1の導電型がn型であるこの例のバージョンでは、第1のコンタクトフィールド領域144及び第2のコンタクトフィールド領域146の両方がp型であり得る。第1のコンタクトフィールド領域144は1×1019cm-3よりも大きい平均ドーパント密度を有し得、これはマイクロ電子デバイス100のオペレーションの間、第1の接続134に対してバイアスが第1のコンタクトフィールド領域144に印加されるときの第1のコンタクトフィールド領域144のデプリーションを低減し得、これは第1のコンタクトフィールド領域144における電界の増大を可能にし得、第1のコンタクトフィールド領域144におけるキャリア密度を有利に増大させることができる。同様に、第2のコンタクトフィールド領域146は、1×1019cm-3より大きい平均ドーパント密度を有し得、同様の利点が得られる。
半導体材料106は、任意選択で、グラフェン構成要素ウェル112に接するウェルタップ領域148を含み得る。ウェルタップ領域148はグラフェン構成要素ウェル112と同じ導電型を有し得、より高い平均ドーパント密度を有し、グラフェン構成要素ウェル112を介してバックゲート領域142に低抵抗接続を提供することができる。
PMOSトランジスタ108は、半導体材料106においてp型ソース領域150及びp型ドレイン領域152を含む。第1のコンタクトフィールド領域144又は第2のコンタクトフィールド領域146がp型であるこの例のバージョンでは、同時に形成される結果、p型であるこの第1のコンタクトフィールド領域144又は第2のコンタクトフィールド領域146が、p型ソース領域150のドーパント分布に実質的に等しいドーパント分布を有し得る。NMOSトランジスタは、n型ソース領域154及びn型ドレイン領域156を含む。第1のコンタクトフィールド領域144又は第2のコンタクトフィールド領域146がn型であるこの例のバージョンにおいて、同時に形成される結果、n型であるこの第1のコンタクトフィールド領域144又は第2のコンタクトフィールド領域146が、n型ソース領域154のドーパント分布に実質的に等しいドーパント分布を有し得る。
この例のゲートグラフェン構成要素102は、第1の接続134及び第2の接続136の横方向表面上に、コンタクトスペーサ158を含み得る。コンタクトスペーサ158は、二酸化シリコン、シリコン窒化物、シリコンオキシナイトライドなどを含み得る。ゲートグラフェン構成要素102は、チャネル領域128の上のパターン化されたhBN層140上のゲート誘電体層160を含む。ゲート誘電体層160は、二酸化シリコン、シリコン窒化物、シリコンオキシナイトライド、アルミニウム酸化物、ボロン窒化物、ハフニウム酸化物、タンタル酸化物、ジルコニウム酸化物、又は他の誘電性材料を含み得る。ゲート誘電体層160は、例えば、1ナノメートル〜20ナノメートルの厚みを有し得る。ゲートグラフェン構成要素102は、チャネル領域128の上のゲート誘電体層160上のゲート162を含む。ゲート162は、多結晶シリコン、アルミニウム、銅、チタン、チタン窒化物、タンタル、窒化タンタル、金属シリサイド、又は他の導電性材料を含み得る。ゲート162は、コンタクトスペーサ158によって第1の接続134及び第2の接続136から電気的に絶縁されている。
PMOSトランジスタ108は、PMOSゲート誘電体層120の上のPMOSゲート164を含み、PMOSゲート164の横表面上にPMOSゲートスペーサ166を含み得る。NMOSトランジスタ110は、NMOSゲート誘電体層122の上にNMOSゲート168を含み、NMOSゲート168の横方向表面上にNMOSゲート170を含み得る。
マイクロ電子デバイス100は、例えば、第1のコンタクトフィールド領域144、第2のコンタクトフィールド領域146、ウェルタップ領域148、n型ソース領域154、n型ドレイン領域156、p型ソース領域150、p型ドレイン領域152、NMOSゲート168、及びPMOSゲート164の一部を含む、マイクロ電子デバイス100上の露出された半導体材料上の金属シリサイド172を含み得る。金属シリサイド172は、マイクロ電子デバイス100の構成要素に低抵抗接続を提供することができる。ゲートグラフェン構成要素102、PMOSトランジスタ108、及びNMOSトランジスタ110を含む、マイクロ電子デバイス100における構成要素は、フィールド酸化物174によって横方向に分離され得る。フィールド酸化物174は、図1に描かれているように、シャロートレンチアイソレーション(STI)構造を有していてもよく、又はシリコンの局所酸化(LOCOS)構造を有していてもよい。マイクロ電子デバイス100における構成要素を横方向に分離するための他の構造もこの例の範囲内にある。
ゲートグラフェン構成要素102、PMOSトランジスタ108、及びNMOSトランジスタ110を含む、マイクロ電子デバイス100における基板104及び構成要素の上に、誘電体層176が配置され得る。誘電体層176は、プレメタル誘電体(PMD)層であり得、PMD層は、誘電性材料の一つ又はそれ以上のサブ層を含み得、これは、例えばシリコン窒化物のPMDライナー、オルトケイ酸テトラエチル(TEOS)及びオゾンを用いる高密度プラズマ又は化学気相成長(CVD)プロセスによって形成される二酸化シリコンベース材料の層、リン珪酸ガラス(PSG)又はボロンリン珪酸ガラス(BPSG)などの二酸化シリコンベース材料の層、及び、シリコン窒化物、シリコンオキシナイトライド、シリコンカーバイド、又はシリコンカーバイド窒化物のキャップ層とし得る。誘電体層176のための他の構造及び組成もこの例の範囲内にある。
コンタクト178が、ゲートグラフェン構成要素102、PMOSトランジスタ108、及びNMOSトランジスタ110を含む、マイクロ電子デバイス100の構成要素への電気的接続を成すために、存在する場合には金属シリサイド172上の、誘電体層176を介して配置される。コンタクト178は、チタンの接着ライナー、チタン窒化物の障壁ライナー、及びタングステンのコア金属を含み得る。コンタクト178のための他の構造及び組成もこの例の範囲内にある。半導体材料106へのコンタクトは、ゲートグラフェン構成要素102、PMOSトランジスタ108、及びNMOSトランジスタ110を含む、マイクロ電子デバイス100の構成要素とは反対の、基板104の裏面上の基板104上の裏側コンタクト180によって行われ得る。この例の別のバージョンにおいて、コンタクト178は、相互接続又は他の電気的接続で置換され得る。
マイクロ電子デバイス100のオペレーションの間、第1のコンタクトフィールド領域144、第2のコンタクトフィールド領域146、バックゲート領域142、及びゲート162はいずれも、第1のコンタクト領域130、第2のコンタクト領域132、及びチャネル領域128において所望のキャリア密度を提供するように独立してバイアスされ得る。第1の接続134及び第2の接続136は、チャネル領域128におけるグラフェン層126において所望の電界を提供するように互いに対してバイアスされ得る。バックゲート領域142及びゲート162は、チャネル領域128を通る電流フローを制御するようにバイアスされ得る。バックゲート領域142は、例えば、グラフェン構成要素ウェル112を含むこの例のバージョンにおいて、又は基板104がSOI構造を有するバージョンにおいて、ウェルタップ領域148を介してバイアスされ得る。グラフェン構成要素ウェルを有さないこの例のバージョンにおいて、バックゲート領域142は、裏側コンタクト180を介してバイアスされ得る。バックゲート領域142とは独立して第1のコンタクトフィールド領域144及び第2のコンタクトフィールド領域146にバイアスをかけることにより、チャネル領域128におけるキャリア密度とは無関係に、第1のコンタクト領域130及び第2のコンタクト領域132における高キャリア密度を可能にすることができ、これにより、ゲートグラフェン構成要素102の総抵抗を有利に低減することができる。
図2A〜図2Iは、例示の形成の方法の種々の段階において描かれた、ゲートグラフェン構成要素202を含むマイクロ電子デバイス200の断面である。図2Aを参照すると、マイクロ電子デバイス200は、シリコン、シリコンカーバイド、ガリウムヒ化物、ガリウム窒化物等などの半導体材料206を有する基板204を含む。基板204は、半導体ウェハ、SOIウェハ、又は別の半導体含有基板であり得る。半導体材料206は、図2Aに示されるようにp型であってもよく、又はn型であってもよい。基板204は、ゲートグラフェン構成要素202のためのエリア、PMOSトランジスタ208のためのエリア、及びNMOSトランジスタ210のためエリアを含む。
フィールド酸化物274が基板204に形成され得る。フィールド酸化物274は、例えば、STIプロセス又はLOCOSプロセスによって形成され得る。例示のSTIのプロセスが、基板204の上にシリコン窒化物のCMP停止層を形成すること、CMP停止層を介して基板204内に隔離トレンチをエッチングすること、及び、TEOSを用いるプラズマエンハンスト化学気相成長(PECVD)プロセス、高密度プラズマ(HDP)プロセス、TEOS及びオゾンを用いる高アスペクト比プロセス(HARP)、シランを用いる常圧化学気相成長(APCVD)プロセス、又は、ジクロロシランを用いる低圧化学気相成長(SACVD)プロセスを用いて、隔離トレンチを二酸化シリコンで充填することを含む。過剰な二酸化シリコンが、酸化物化学機械研磨(CMP)プロセスによってCMP停止層の上から除去され、フィールド酸化物274が残される。例示のLOCOSのプロセスが、基板204の上のLOCOSパッド酸化物の層の上にシリコン窒化物マスク層を形成することを含む。シリコン窒化物マスク層は、フィールド酸化物274のためのエリアにおいて除去されて、LOCOSパッド酸化物が露出される。熱酸化により、シリコン窒化物マスク層によって露出されたエリアに二酸化シリコンが形成されて、フィールド酸化物274を形成する。その後、シリコン窒化物マスク層が除去され、フィールド酸化物274は適所に残される。
PMOSトランジスタ208のためのエリアにおける基板204においてn型ウェル216が形成され得る。n型ウェル216は、150キロエレクトロンボルト(keV)〜450keVのエネルギーで、1×1012cm-2〜1×1014cm-2の累積ドーズ量で、一つ又はそれ以上の注入工程で半導体材料206内にリンなどのn型ドーパントを注入すること、及びその後続く、熱アニール及び活性化オペレーションによって、形成され得る。
NMOSトランジスタ210のためのエリアの基板204においてp型ウェル214が形成され得る。p型ウェル214は、50キロエレクトロンボルト(keV)〜250keVのエネルギーで、1×1012cm-2〜1×1014cm-2の累積ドーズ量で、一つ又はそれ以上の注入工程で半導体材料206にボロンなどのp型ドーパントを注入すること、及びその後続く、熱アニール及び活性化オペレーションによって、形成され得る。
ゲートグラフェン構成要素202のためのエリアにおける基板204においてグラフェン構成要素ウェル212が形成され得る。この例では、グラフェン構成要素ウェル212はp型であり得、p型ウェル214と同時に形成され得る。あるいは、グラフェン構成要素ウェル212はn型であってもよく、n型ウェル216と同時に形成され得る。p型ウェル214又はn型ウェル216と同時にグラフェン構成要素ウェル212を形成することは、グラフェン構成要素ウェル212を別々に形成する場合と比較して、マイクロ電子デバイス200の製造コスト及び複雑度を好都合に低減し得る。
PMOSトランジスタ208のためのエリアの基板204上にPMOSゲート誘電体層220が形成される。NMOSトランジスタ210のためのエリアにおける基板204上にNMOSゲート誘電体層222が形成される。ゲートグラフェン構成要素202のためのエリアにおける基板204上に隔離誘電体層218が形成される。グラフェン構成要素ウェル212への接続のためのエリアにおける基板204上に犠牲酸化物層282が形成され得る。PMOSゲート誘電体層220、NMOSゲート誘電体層222、隔離誘電体層218、及び犠牲酸化物層282は、二酸化シリコン、シリコンオキシナイトライド、アルミニウム酸化物、ハフニウム酸化物、タンタル酸化物ジルコニウム酸化物、又はゲート誘電体層に適した他の誘電性材料を含み得、1ナノメートルから10ナノメートルの厚さであり得る。層220、222、218、及び282を別々に形成することに比べて、マイクロ電子デバイス200の製造コスト及び複雑度を有利に低減するように、PMOSゲート誘電体層220、NMOSゲート誘電体層222、隔離誘電体層218、及び犠牲酸化物層282の任意の組み合わせが同時に形成され得る。
図2Bを参照すると、PMOSゲート264が、PMOSゲート誘電体層220上に形成される。NMOSゲート268が、NMOSゲート誘電体層222上に形成される。PMOSゲート264の一部又は全てが、NMOSゲート268の一部又は全てと同時に形成され得る。PMOSゲート264が形成された後、PMOSトランジスタ208のp型ソース/ドレイン拡張部を形成するために、PMOSゲート264に近接する基板204内にp型ドーパントが注入され得る。n型ドーパントは、PMOSゲート264が形成された後、PMOSゲート264の下に中途まで延在して基板204内に注入され得、PMOSトランジスタ208のn型ハロー領域を形成し得る。同様に、NMOSゲート268が形成された後、n型ドーパントが、NMOSゲート268に近接する基板204に注入されて、NMOSトランジスタ210のn型ソース/ドレイン延長部が形成され得る。p型ドーパントは、NMOSゲート268が形成された後、NMOSゲート268下に中途まで延在して基板204内に注入され得、NMOSトランジスタ210のp型ハロー領域が形成され得る。
その後、PMOSゲート側壁スペーサ266がPMOSゲート264の横方向表面上に形成され、NMOSゲート側壁スペーサ270がNMOSゲート268の横方向表面上に形成される。PMOSゲート側壁スペーサ266の一部又は全てが、NMOSゲート側壁スペーサ270の一部又は全てと同時に形成され得る。PMOSゲート側壁スペーサ266及びNMOSゲート側壁スペーサ270は、二酸化シリコン又はシリコン窒化物などの、一つ又はそれ以上の層の誘電性材料を含み得る。
その後、PMOSトランジスタ208のためのエリアにおいて、及びグラフェン構成要素ウェル212への接続のためのエリアにおいて、ボロン及び任意選択でガリウム又はインジウムなどの追加のp型ドーパントが、基板204内に注入される。その後、基板204は、注入されたp型ドーパントを活性化するためにアニールされて、PMOSトランジスタ208のためのエリアの基板204にp型ソース領域250とp型ドレイン領域252を形成し、グラフェン構成要素ウェル212への接続のためのエリアの基板204にウェルタップ領域248を形成する。追加のp型ドーパントは、1×1014cm-2〜1×1016cm-2の累積ドーズ量で注入され得、p型ソース領域250及びp型ドレイン領域252における1×1019cm-3より大きい平均ドーパント密度を提供し得る。
ゲートグラフェン構成要素202のためのエリアにおける第1のコンタクトフィールド領域及び第2のコンタクトフィールド領域のためのエリアを露出させるように、及び、NMOSトランジスタ210のためのエリアを露出させるように、並びに、ゲートグラフェン領域202のためのエリア、PMOSトランジスタ208のためのエリア、及びグラフェン構成要素ウェル212への接続のためのエリアにおける基板204におけるバックゲート242のためのエリアを覆うように、注入マスク284が基板204の上に形成される。注入マスク284は、フォトリソグラフィプロセスによって形成されるフォトレジストを含み得、有機底部反反射被覆(BARC)などの反反射材料を更に含み得る。リン、砒素、及び任意選択でアンチモンなどの追加のn型ドーパント286が、注入マスク284によって露出された基板204内に注入され得る。追加のn型ドーパント286は、1×1014cm-2〜1×1016cm-2の累積ドーズ量で、2keV〜40keVのエネルギーで、一つ又はそれ以上の注入工程において注入され得る。注入されたn型ドーパント286は、NMOSトランジスタ210のためのエリアにおいてソース注入領域288及びドレイン注入領域290を形成し、ゲートグラフェン構成要素202のためのエリアにおいて第1のコンタクトフィールド注入領域292及び第2のコンタクトフィールド注入領域294を形成する。追加のn型ドーパント286を1×1014cm-2〜1×1016cm-2の累積ドーズ量で注入すると、1×1019cm-3の平均ドーパント密度が提供され得、これは、図1に関して開示された利点を得ることができる。
その後、注入マスク284が除去される。注入マスク284は、例えば、アッシャープロセスにおいて酸素ラジカルに曝露することによって除去され得る。酸素ラジカルへの曝露からの残留物は、過酸化水素及び水酸化アンモニウムの水性混合物などの湿式洗浄プロセスによって除去され得る。
図2Cを参照すると、熱アニールプロセスが行なわれる。熱アニールプロセスでは、図2Bのソース注入領域288、ドレイン注入領域290、第1のコンタクトフィールド注入領域292、及び第2のコンタクトフィールド注入領域294における基板204をアニールし、ソース注入領域288、ドレイン注入領域290、第1のコンタクトフィールド注入領域292、及び第2のコンタクトフィールド注入領域294における注入されたn型ドーパントを活性化して、NMOSトランジスタ210のためのエリアにおける基板204においてn型ソース領域254及びn型ドレイン領域256を形成し、ゲートグラフェン構成要素202のためのエリアにおける基板204において第1のコンタクトフィールド領域244及び第2のコンタクトフィールド領域246を形成する。第1のコンタクトフィールド領域244及び第2のコンタクトフィールド領域246は、バックゲート領域242に近接している。
第1の金属層296が、隔離誘電体層218上に形成され得る。第1の金属層296は、例えば、コバルト、ニッケル、銅、ルテニウム、ロジウム、パラジウム、銀、レニウム、イリジウム、プラチナ、金、又はそれらの任意の組み合わせの、後続のhBN層の沈殿に適した、一つ又はそれ以上の金属を含む。これらの金属は、網羅的な一覧ではなく、例として提供されている。第1の金属層(296)は、同質の合金、又は、2つ又はそれ以上の異なる金属の混合物を含み得る。第1の金属層(296)は、例えば、銅/ニッケル/銅スタックなど、異なる金属を有する2つ又はそれ以上の層の層状構造を含み得る。第1の金属層(296)は、例えば、スパッタプロセス、蒸着プロセス、CVDプロセス、有機金属化学気相成長(MOCVD)プロセス、又は原子層堆積(ALD)プロセスによって形成され得る。第1の金属層296の厚みは、隔離誘電体層218上へのhBN層の沈殿に適しており、そのため、第1の金属層296の組成に基づいて選択され得る。例えば、第1の金属層296は、50ナノメートル〜500ナノメートルの厚みを有し得る。第1の金属層296は、図2Cに描かれているように、ゲートグラフェン構成要素202のためのエリアの上のみに延在するようにパターン化され得る。あるいは、第1の金属層296は、基板204全体にわたって延在してもよい。
図2Cにおいて「ボロン」として示されるボロンは、例えば400℃〜800℃など、第1の金属層296におけるボロン及び窒素の拡散、並びに、hBN層の隔離誘電体層218上への沈殿に適した温度で、第1の金属層296におけるボロンの飽和状態を形成するのに充分な量で第1の金属層296内に導入され得る。図2Cにおいて「窒素」として示される窒素は、ボロン及び窒素の拡散、並びに隔離誘電体層218上へのhBN層の沈殿に適した同じ温度で、第1の金属層296における窒素の飽和状態を形成するのに充分な量で第1の金属層296内に導入され得る。ボロン及び窒素は、例えば、イオン注入、高温でのボロン含有気体試薬及び窒素含有気体試薬への曝露、又は第1の金属層296上のボロン窒化物層の堆積を含む、いくつかの方法の任意のものによって、第1の金属層296内に導入され得る。
ゲート制御グラフェン構成要素202のためのエリアの外にある、PMOSトランジスタ208及びNMOSトランジスタ210のためのエリアを含む、マイクロ電子デバイス200のためのエリアは、二酸化シリコンの遮蔽層(図示せず)又は他の材料などによって、図2C及び後続の工程に関して開示されるプロセスオペレーションから遮蔽され得る。遮蔽層は、ゲートグラフェン構成要素202が形成された後に除去され得る。ゲートグラフェン構成要素202のためのエリアの外にあるマイクロ電子デバイス200のためのエリアを保護する他の方法もこの例の範囲内である。
図2Dを参照すると、第1の金属層296は、ボロン及び窒素が飽和状態に達する温度まで加熱され得る。第1の金属層296は、図2Dに示すように第1の放射熱プロセス298によって、ホットプレートプロセスによって、ファーネスプロセスによって、又は他の加熱プロセスによって加熱され得る。第1の金属層296はその後冷却されて、ボロン及び窒素が第1の金属層296の表面へ拡散し、下側hBN層224が隔離誘電体層218上に沈殿する。下側hBN層224は、例えば、1〜5原子層の厚さとし得る。上側hBN層300も、下側hBN層224とは反対の第1の金属層296の頂部表面上に沈殿され得る。上側のhBN層300は、第1の金属層296とは別に、又は第1の金属層296と共に、除去され得る。
図2Eを参照すると、下側hBN層224上に第2の金属層302が配置され得る。この例の幾つかのバージョンにおいて、第2の金属層302は、図2Dの第1の金属層296であり得る。他のバージョンにおいて、第2の金属層302は、第1の金属層296の一部を含み得、任意選択で追加の金属を含み得る。さらなるバージョンにおいて、第1の金属層296は、第2の金属層302を形成する前に除去され得る。第2の金属層302は、グラファイト層の後続の沈殿に適した一つ又はそれ以上の金属を含む。第2の金属層302は、第1の金属層296に類似する組成、層構造、及び厚みを有し得る。
図2Eにおいて「炭素」として示される炭素は、例えば400℃〜800℃など、第2の金属層302における炭素の拡散、並びに、下側hBN金属層224上へのグラファイト層の沈殿に適した温度で、第2の金属層302中の炭素の飽和状態を形成するのに充分な量で第2の層302内に導入され得る。炭素は、例えば、イオン注入、高温での炭素含有気体試薬への曝露、又は第2の金属層302上の非晶質炭素層の堆積を含むいくつかの方法の任意のものによって、第2の金属層302に導入され得る。
図2Fを参照すると、第2の金属層302は、炭素が飽和状態に達する温度まで加熱され得る。第2の金属層302は、図2Fに示されるように第2の放射熱プロセス304によって、又は別の加熱プロセスによって加熱され得る。第2の金属層302はその後冷却されて、炭素が第2の金属層302の表面に拡散し、下側hBN層224上にグラファイト層226が沈殿する。グラファイト層226はベルナル構成を有し得る。上側グラファイト層306も、グラファイト層226とは反対側の第2の金属層302の頂部表面に沈殿され得る。上側グラファイト層306は任意選択で除去され得る。
図2Gを参照すると、第1の接続234が、グラファイト層226の第1のコンタクト領域230におけるグラファイト層226上に形成され、第2の接続236が、グラファイト層226の第2のコンタクト領域232におけるグラファイト層226上に形成される。第1の接続234及び第2の接続236は、図2Fの第2の金属層302の一部を含み得る。第1接続234及び第2接続236は同時に形成され得る。第1の接続234及び第2の接続236は、例えば、チタン窒化物又は窒化タンタルなど、ボロンの拡散を低減する材料の障壁キャップ238を有し得る。
第1の接続234及び第2の接続236の上に、及び、チャネル領域228の上を含む、第1の接続234及び第2の接続236によって露出されたグラファイト層226上に、第3の金属層308が形成され得る。第3の金属層308は、hBN層の後続の沈殿に適した一つ又はそれ以上の金属を含む。第3の金属層308は、図2Cの第1の金属層296に関して記載された特性に類似する組成、層構造、及び厚みを有し得る。
図2Gにおいて「ボロン」として示されるボロン、及び図2Gにおいて「窒素」として示される窒素は、例えば400℃〜800℃など、第3の金属層308におけるボロン及び窒素の拡散、並びにグラフェン層226上への層の沈殿に適した温度で、第3の金属層308におけるボロン及び窒素の飽和状態を形成するのに充分な量で第3の金属層308に導入され得る。ボロン及び窒素は、図2Cに関して記載された方法の任意のものによって第1の金属層296に導入され得る。
図2Hを参照すると、第3の金属層308は、ボロン及び窒素が飽和状態に達する温度まで加熱され得る。第3の金属層308は、図2Dの第1の金属層296が加熱された温度まで加熱され得る。第3の金属層308は、図2Dに示すように第3の放射熱プロセス310によって、又は別の加熱プロセスによって加熱され得る。第3の金属層308はその後冷却され、その結果、ボロン及び窒素が第3の金属層308の表面へ拡散し、パターン化されたhBN層240がチャネル領域228におけるグラファイト層226上に沈殿する。障壁キャップ238は、第1の接続234及び第2の接続236の下のhBNの形成を阻止する。パターン化されたhBN層240は、例えば、1〜5原子層の厚さであり得る。第2のhBN層(図示せず)を、パターン化されたhBN層240とは反対側の第3の金属層308の頂部表面上、及び障壁キャップ238上に沈殿させることもできる。第3の金属層308は、第2のhBN層と共にその後除去され、パターン化されたhBN層240が適所に残される。
図2Iを参照すると、第1の接続234及び第2の接続236の横方向表面上にコンタクトスペーサ258が形成され得る。コンタクトスペーサ258は、第1の接続234及び第2の接続236の上に二酸化シリコン又はシリコン窒化物などの誘電性材料のコンフォーマル層を形成し、反応性イオンエッチング(RIE)プロセスなどの異方性エッチングプロセスによってチャネル領域228上のコンフォーマル層を除去することによって、形成され得る。
ゲートグラフェン構成要素202のゲート誘電体層260が、パターン化されたhBN層240の上に形成され得る。ゲート誘電体層260は、コンタクトスペーサ258上に延在し得る。ゲート誘電体層260は、図1に関して開示された材料を含み得る。ゲート誘電体層260の一部又は全てが、CVDプロセス、ALDプロセス、又は他の誘電性薄膜プロセスによって形成され得る。ゲート誘電体層260は、マイクロ電子デバイス200上にブランケット誘電体層として形成され得、その後、マスク及びエッチングプロセスによってパターン化されて、ゲートグラフェン構成要素202の外のエリアからブランケット誘電体層が除去され得る。
ゲートグラフェン構成要素202のゲート262が、チャネル領域228の上のゲート誘電体層260の上に形成される。ゲート262は、図1に関してゲート162に関して開示された材料を含み得る。ゲート262は、蒸着プロセス、スパッタプロセス、ALDプロセス、有機金属化学気相成長(MOCVD)プロセス、又は他の適切な金属薄膜プロセスによって形成され得る。ゲート262は、コンタクトスペーサ258によって、第1の接続234及び第2の接続236から電気的に絶縁されるように形成される。ゲート262は、マイクロ電子デバイス200上にブランケット金属層として形成され、その後、マスク及びエッチングプロセスによってパターン化されて、ゲートグラフェン構成要素202の外のエリアからブランケット金属層が除去され得る。
マイクロ電子デバイス200の形成は、例えば、第1のコンタクトフィールド領域244、第2のコンタクトフィールド領域246、ウェルタップ領域248、n型ソース領域254、n型ドレイン領域256、p型ソース領域250、p型ドレイン領域252、NMOSゲート268、及びPMOSゲート264の一部を含む、マイクロ電子デバイス200の露出された半導体材料上の、図2Iに示されていない金属シリサイドの形成で続く。その後、マイクロ電子デバイス200上に、図2Iでは図示しない誘電体層が形成され、誘電体層を介して、図2Iでは図示しないコンタクトが形成されて、図1の構造を提供する。
本発明の特許請求の範囲内で、説明した例示の実施例に改変が成され得、他の実施例が可能である。

Claims (20)

  1. マイクロ電子デバイスであって、
    半導体材料を有する基板、
    ゲートグラフェン構成要素であって、前記基板上のグラフェンの少なくとも1つの層を含むグラファイト層であって、チャネル領域と、前記チャネル領域に隣接する第1のコンタクト領域と、前記チャネル領域に隣接する第2のコンタクト領域とを有する前記グラファイト層、及び、前記チャネル領域上のゲートを含む、前記ゲートグラフェン構成要素、
    前記第1のコンタクト領域における前記グラファイト層上の第1の接続、
    前記第2のコンタクト領域における前記グラファイト層上の第2の接続、
    前記チャネル領域の下の前記半導体材料における、第1の導電型を有するバックゲート領域、
    前記グラファイト層の前記第1のコンタクト領域の下の前記半導体材料における第1のコンタクトフィールド領域、及び
    前記グラファイト層の前記第2コンタクト領域の下の前記半導体材料における第2コンタクトフィールド領域、
    を含み、
    前記グラファイト層が、前記バックゲート領域、前記第1のコンタクトフィールド領域、及び前記第2コンタクトフィールド領域から隔離され、
    前記第1のコンタクトフィールド領域及び前記第2コンタクトフィールド領域の少なくとも一方が、第2の、逆の導電型を有する、
    マイクロ電子デバイス。
  2. 請求項1に記載のマイクロ電子デバイスであって、前記第1のコンタクトフィールド領域及び前記第2のコンタクトフィールド領域のうちの前記少なくとも一方が、1×1019cm-3より大きい平均ドーパント密度を有する、マイクロ電子デバイス。
  3. 請求項1に記載のマイクロ電子デバイスであって、前記バックゲート領域がp型であり、前記第1のコンタクトフィールド領域がn型であり、前記第2のコンタクトフィールド領域がn型である、マイクロ電子デバイス。
  4. 請求項3に記載のマイクロ電子デバイスであって、前記半導体材料が主としてシリコンを含み、前記マイクロ電子デバイスが、nチャネル金属酸化物半導体(NMOS)トランジスタを更に含む、マイクロ電子デバイス。
  5. 請求項4に記載のマイクロ電子デバイスであって、前記第1のコンタクトフィールド領域のドーパント分布が、前記NMOSトランジスタのn型ソース領域のドーパント分布と実質的に等しい、マイクロ電子デバイス。
  6. 請求項4に記載のマイクロ電子デバイスであって、pチャネル金属酸化物半導体(PMOS)トランジスタを更に含む、マイクロ電子デバイス。
  7. 請求項1に記載のマイクロ電子デバイスであって、
    前記ゲートグラフェン構成要素が、前記バックゲート領域と、前記第1のコンタクトフィールド領域と、前記第2のコンタクトフィールド領域との上に隔離誘電体層を更に含み、
    前記グラファイト層が、前記隔離誘電体層によって、前記バックゲート領域と、前記第1のコンタクトフィールド領域と、前記第2のコンタクトフィールド領域とから隔離されている、
    マイクロ電子デバイス。
  8. 請求項7に記載のゲート誘電体層であって、ゲート誘電体層を有する金属酸化物半導体(MOS)トランジスタを更に含み、前記隔離誘電体層の厚み及び組成が、前記ゲート誘電体層の厚み及び組成と実質的に等しい、マイクロ電子デバイス。
  9. 請求項1に記載のマイクロ電子デバイスであって、前記ゲートグラフェン構成要素が、前記グラファイト層の下の下側六方晶ボロン窒化物(hBN)層を更に含み、前記グラファイト層が前記下側hBN層上に直接に配置される、マイクロ電子デバイス。
  10. 請求項1に記載のマイクロ電子デバイスであって、前記ゲートグラフェン構成要素が、
    前記バックゲート領域とは反対の前記チャネル領域の上のグラファイト層上に直接にパターン化されたhBN層と、
    前記パターン化されたhBN層の上のゲートと、
    を更に含む、マイクロ電子デバイス。
  11. マイクロ電子デバイスを形成する方法であって、
    半導体材料を含む基板を提供することであって、前記半導体材料が、前記半導体材料において第1の導電型を有するバックゲート領域を含む、前記基板を提供すること、
    前記バックゲート領域に隣接する前記半導体材料において第1のコンタクトフィールド領域を形成すること、
    前記バックゲート領域に隣接する前記半導体材料において、第2の、逆の導電型を有する第2のコンタクトフィールド領域を形成すること、
    前記基板の上にゲートグラフェン構成要素のグラファイト層を形成することであって、前記グラファイト層がグラフェンの少なくとも1つの層を含み、前記グラファイト層が、前記バックゲート領域と、前記第1のコンタクトフィールド領域と、前記第2のコンタクトフィールド領域との上に延在し、前記グラファイト層が、前記バックゲート領域と、前記第1のコンタクトフィールド領域と、前記第2のコンタクトフィールド領域とから分離される、前記グラファイト層を形成すること、
    前記第1のコンタクトフィールド領域の上の前記グラファイト層上に第1の接続を形成すること、及び
    前記第2のコンタクトフィールド領域の上の前記グラファイト層上に第2の接続を形成すること、
    を含む、方法。
  12. 請求項11に記載の方法であって、前記第2の導電型を有する前記第1のコンタクトフィールド領域及び前記第2のコンタクトフィールド領域のうちの前記少なくとも1つと同時に、前記第2の導電型を有する金属酸化物半導体(MOS)トランジスタのソース領域を形成することを更に含む、方法。
  13. 請求項11に記載の方法であって、前記第2の導電型を有する前記第1のコンタクトフィールド領域及び前記第2のコンタクトフィールド領域のうちの前記少なくとも1つを形成することが、1×1014cm-2〜1×1016cm-2の累積ドーズ量で前記第2の導電型のドーパントを注入することを含む、方法。
  14. 請求項11に記載の方法であって、前記第2の導電型を有する前記第1のコンタクトフィールド領域及び前記第2のコンタクトフィールド領域のうちの前記少なくとも1つを形成することが、前記第2の導電型を有する前記第1のコンタクトフィールド領域及び前記第2のコンタクトフィールド領域のうちの前記少なくとも1つが、1×1019cm-3より大きい平均ドーパント密度を有するように行われる、方法。
  15. 請求項11に記載の方法であって、前記基板の上に隔離誘電体層を形成することを更に含み、その結果、前記グラファイト層が、前記隔離誘電体層によって、前記バックゲート領域、前記第1のコンタクトフィールド領域、及び前記第2のコンタクトフィールド領域から隔離される、方法。
  16. 請求項15に記載の方法であって、前記隔離誘電体層と同時にMOSトランジスタのゲート誘電体層を形成することを更に含む、方法。
  17. 請求項11に記載の方法であって、前記半導体材料が主としてシリコンを含む、方法。
  18. 請求項11に記載の方法であって、前記グラファイト層を形成する前に下側六方晶ボロン窒化物(hBN)層を形成することを更に含み、その結果、前記グラファイト層が、前記下側hBN層の直接上に形成される、方法。
  19. 請求項11に記載の方法であって、パターン化されたhBN層を前記バックゲート領域の上の前記グラファイト層の直接上に形成することを更に含む、方法。
  20. 請求項11に記載の方法であって、前記チャネル領域の上の前記グラファイト層の上にゲートを形成することを更に含む、方法。
JP2020568951A 2018-03-02 2019-03-04 半導体層の上のグラフェン及びボロン窒化物ヘテロ構造デバイスの統合 Active JP7293258B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/910,854 2018-03-02
US15/910,854 US10304967B1 (en) 2018-03-02 2018-03-02 Integration of graphene and boron nitride hetero-structure device over semiconductor layer
PCT/US2019/020558 WO2019169392A1 (en) 2018-03-02 2019-03-04 Integration of graphene and boron nitride hetero-structure device over semiconductor layer

Publications (3)

Publication Number Publication Date
JP2021518994A true JP2021518994A (ja) 2021-08-05
JPWO2019169392A5 JPWO2019169392A5 (ja) 2022-03-15
JP7293258B2 JP7293258B2 (ja) 2023-06-19

Family

ID=66636213

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020568951A Active JP7293258B2 (ja) 2018-03-02 2019-03-04 半導体層の上のグラフェン及びボロン窒化物ヘテロ構造デバイスの統合

Country Status (5)

Country Link
US (2) US10304967B1 (ja)
EP (1) EP3759732A4 (ja)
JP (1) JP7293258B2 (ja)
CN (1) CN111801780A (ja)
WO (1) WO2019169392A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490673B2 (en) 2018-03-02 2019-11-26 Texas Instruments Incorporated Integration of graphene and boron nitride hetero-structure device
CN110676169B (zh) * 2019-09-05 2023-02-28 中国电子科技集团公司第十三研究所 石墨烯胶囊封装晶体管制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170032977A1 (en) * 2015-07-31 2017-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution lines having stacking vias
JP2017225100A (ja) * 2015-07-31 2017-12-21 株式会社半導体エネルギー研究所 半導体装置、表示モジュール、及び電子機器
JP2020508587A (ja) * 2017-02-21 2020-03-19 日本テキサス・インスツルメンツ合同会社 高周波数応用例のためのヘテロ構造相互接続

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732859B2 (en) 2007-07-16 2010-06-08 International Business Machines Corporation Graphene-based transistor
US8659009B2 (en) 2007-11-02 2014-02-25 The Trustees Of Columbia University In The City Of New York Locally gated graphene nanostructures and methods of making and using
WO2009132165A2 (en) 2008-04-24 2009-10-29 President And Fellows Of Harvard College Microfabrication of carbon-based devices such as gate-controlled graphene devices
US8698226B2 (en) 2008-07-31 2014-04-15 University Of Connecticut Semiconductor devices, methods of manufacture thereof and articles comprising the same
US7858990B2 (en) 2008-08-29 2010-12-28 Advanced Micro Devices, Inc. Device and process of forming device with pre-patterned trench and graphene-based device structure formed therein
US7858989B2 (en) 2008-08-29 2010-12-28 Globalfoundries Inc. Device and process of forming device with device structure formed in trench and graphene layer formed thereover
WO2010113518A1 (ja) 2009-04-01 2010-10-07 国立大学法人北海道大学 電界効果トランジスタ
KR101156620B1 (ko) 2009-04-08 2012-06-14 한국전자통신연구원 그라핀 채널층을 가지는 전계 효과 트랜지스터
US8673703B2 (en) 2009-11-17 2014-03-18 International Business Machines Corporation Fabrication of graphene nanoelectronic devices on SOI structures
JP5904734B2 (ja) 2010-09-16 2016-04-20 三星電子株式会社Samsung Electronics Co.,Ltd. グラフェン発光素子及びその製造方法
CN102054869B (zh) 2010-09-17 2012-12-19 中国科学院微电子研究所 一种石墨烯器件及其制造方法
KR101791938B1 (ko) * 2010-12-29 2017-11-02 삼성전자 주식회사 복수의 그래핀 채널층을 구비하는 그래핀 전자소자
KR101813176B1 (ko) * 2011-04-07 2017-12-29 삼성전자주식회사 그래핀 전자 소자 및 제조방법
CN102842603B (zh) * 2011-06-23 2015-03-25 中国科学院微电子研究所 Mosfet及其制造方法
US8633055B2 (en) 2011-12-13 2014-01-21 International Business Machines Corporation Graphene field effect transistor
KR101920710B1 (ko) 2012-01-03 2018-11-21 삼성전자주식회사 인버터 소자, nand 소자, nor 소자, 및 이를 포함하는 논리 장치
CN103258849A (zh) * 2012-02-15 2013-08-21 西安电子科技大学 一种石墨烯场效应晶体管及其制备方法
KR101910579B1 (ko) 2012-10-29 2018-10-22 삼성전자주식회사 튜너블 배리어를 구비한 그래핀 스위칭 소자
EP2959514A4 (en) * 2013-02-22 2016-11-02 Hrl Lab Llc FIELD EFFECT TRANSISTOR WITH GRAPH HETEROSTRUCTURE
US9627562B2 (en) 2013-03-22 2017-04-18 Nanyang Technological University Method of manufacturing a monolayer graphene photodetector and monolayer graphene photodetector
CN103325836B (zh) * 2013-06-08 2016-02-10 中国科学院微电子研究所 一种石墨烯场效应晶体管及其制备方法
KR101687983B1 (ko) * 2014-03-26 2017-01-02 한국과학기술원 불화암모늄을 이용한 n-도핑된 그래핀 및 전기소자의 제조방법,그에 의한 그래핀 및 전기소자
KR101526555B1 (ko) 2014-08-22 2015-06-09 서울대학교산학협력단 재구성 가능한 전자 소자 및 이의 동작 방법
KR102360025B1 (ko) * 2014-10-16 2022-02-08 삼성전자주식회사 비정질 탄소원자층의 형성방법 및 비정질 탄소원자층을 포함하는 전자소자
JP6582759B2 (ja) 2015-09-02 2019-10-02 富士通株式会社 電子デバイス及びその製造方法
US9882008B2 (en) 2015-11-05 2018-01-30 Texas Instruments Incorporated Graphene FET with graphitic interface layer at contacts
CN106803517B (zh) * 2015-11-26 2019-12-20 上海新昇半导体科技有限公司 双沟道FinFET器件及其制造方法
KR102425131B1 (ko) 2016-02-05 2022-07-26 광주과학기술원 그래핀 트랜지스터 및 이를 이용한 3진 논리 소자
CN107230632B (zh) * 2016-03-24 2020-05-01 上海新昇半导体科技有限公司 双栅极石墨烯场效应晶体管及其制造方法
US9954109B2 (en) * 2016-05-05 2018-04-24 International Business Machines Corporation Vertical transistor including controlled gate length and a self-aligned junction
US9923142B2 (en) * 2016-05-31 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of graphene growth and related structures
US9899537B2 (en) * 2016-05-31 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with transition metal dichalocogenide hetero-structure
JP6791723B2 (ja) * 2016-11-07 2020-11-25 住友電気工業株式会社 半導体装置
US20180308696A1 (en) 2017-04-25 2018-10-25 Texas Instruments Incorporated Low contact resistance graphene device integration

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170032977A1 (en) * 2015-07-31 2017-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution lines having stacking vias
JP2017225100A (ja) * 2015-07-31 2017-12-21 株式会社半導体エネルギー研究所 半導体装置、表示モジュール、及び電子機器
JP2020508587A (ja) * 2017-02-21 2020-03-19 日本テキサス・インスツルメンツ合同会社 高周波数応用例のためのヘテロ構造相互接続

Also Published As

Publication number Publication date
US11296237B2 (en) 2022-04-05
WO2019169392A1 (en) 2019-09-06
JP7293258B2 (ja) 2023-06-19
CN111801780A (zh) 2020-10-20
EP3759732A4 (en) 2021-04-28
EP3759732A1 (en) 2021-01-06
US20190288122A1 (en) 2019-09-19
US10304967B1 (en) 2019-05-28
WO2019169392A8 (en) 2020-04-09

Similar Documents

Publication Publication Date Title
US7229871B2 (en) Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors
CN103022102B (zh) 用于超薄界面介电层的多层清除金属栅极堆叠件
US7615840B2 (en) Device performance improvement using flowfill as material for isolation structures
TW569451B (en) Process for fabricating a vertical bipolar junction transistor, process for fabricating an integrated circuit structure, and integrated circuit structure
US8685818B2 (en) Method of forming a shallow trench isolation embedded polysilicon resistor
US10714474B2 (en) High voltage CMOS with triple gate oxide
US9698211B2 (en) High sheet resistor in CMOS flow
US7749847B2 (en) CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
TW201239984A (en) Semiconductor device with doped inter-level dielectric layers and method of fabrication thereof
TW201318149A (zh) 高電壓裝置
TWI233165B (en) Semiconductor device fabrication method
US20060134844A1 (en) Method for fabricating dual work function metal gates
TW201011818A (en) Method of fabricating a semiconductor device
US7514317B2 (en) Strained semiconductor device and method of making same
CN110783409B (zh) 具有低闪烁噪声的半导体装置和其形成方法
JP7293258B2 (ja) 半導体層の上のグラフェン及びボロン窒化物ヘテロ構造デバイスの統合
US8273645B2 (en) Method to attain low defectivity fully silicided gates
TW200949938A (en) Method of manufacturing semiconductor device
JPWO2008117430A1 (ja) 半導体装置の製造方法、半導体装置
TW201731101A (zh) 半導體裝置
US20050106833A1 (en) Semiconductor device having metal silicide layer on source/drain region and gate electrode and method of manufacturing the same
US20220375856A1 (en) Integration scheme to build resistor, capacitor, efuse using silicon-rich dielectric layer as a base dielectric
JP2009059758A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20200902

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210602

A625 Written request for application examination (by other person)

Free format text: JAPANESE INTERMEDIATE CODE: A625

Effective date: 20220302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220307

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20220518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230331

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230607

R150 Certificate of patent or registration of utility model

Ref document number: 7293258

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150