JP2018521509A5 - 基板をパターン化する方法 - Google Patents

基板をパターン化する方法 Download PDF

Info

Publication number
JP2018521509A5
JP2018521509A5 JP2017566685A JP2017566685A JP2018521509A5 JP 2018521509 A5 JP2018521509 A5 JP 2018521509A5 JP 2017566685 A JP2017566685 A JP 2017566685A JP 2017566685 A JP2017566685 A JP 2017566685A JP 2018521509 A5 JP2018521509 A5 JP 2018521509A5
Authority
JP
Japan
Prior art keywords
layer
substrate
along
hole
surface feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017566685A
Other languages
English (en)
Other versions
JP6807879B2 (ja
JP2018521509A (ja
Filing date
Publication date
Priority claimed from US14/749,822 external-priority patent/US10008384B2/en
Application filed filed Critical
Publication of JP2018521509A publication Critical patent/JP2018521509A/ja
Publication of JP2018521509A5 publication Critical patent/JP2018521509A5/ja
Application granted granted Critical
Publication of JP6807879B2 publication Critical patent/JP6807879B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

他の好適例では、基板をパターン化する方法が、リソグラフィー・プロセス用マスクの隣接する特徴形状間の閾値間隔を定めるステップと;基板上に層を用意するステップと;隣接する特徴形状間に閾値間隔以上の間隔を有する第1リソグラフィー・マスクを用いて第1組の孔を形成するステップと;第1照射において、反応種を含有する反応性雰囲気の存在下で、第1イオンを第1方向に沿って、基板平面に直交する方向に対して0でない入射角で上記層へ指向させるステップとを含むことができ、第1照射後に、第1組の孔のうち少なくとも2つの孔は、第1方向に沿った閾値間隔よりも小さい第1最終孔間隔によって特徴付けられる。
図1Aは、本発明の種々の実施形態によるデバイス構造の処理の側面図である。図1Bは、図1のシナリオによるデバイス構造の処理後の側面図である。図1Cは、図1Aのシナリオの上面図である。図1Dは、図1Bのシナリオの上面図である。 図2Aは、本発明の種々の実施形態によるデバイス構造の処理の側面図である。図2Bは、図2Aのシナリオによるデバイス構造の処理後の側面図である。図2Cは、図2Aのシナリオの上面図である。図2Dは、図2Bのシナリオの上面図である。 図3A〜図3Dは、本発明の追加的な実施形態による一次元反応性イオンエッチングの他の例を示す図である。 図4A〜図4Bは、本発明の追加的な実施形態による一次元反応性イオンエッチングの他の例を示す図である。 図5Aは、一次元反応性イオンエッチングを用いて孔のアレイを生成することができる他の実施形態を示す図である。 図5Bは、一次元反応性イオンエッチングを用いて孔のアレイを生成することができる他の実施形態を示す図である。 本発明の追加的な実施形態による、一次元反応性イオンエッチングを用いて形成された接点構造を例示する図である。 図7A〜図7Bは、一次元反応性イオンエッチングをメモリ構造に適用する追加的な実施形態を示す図である。 図8Aは、ターゲット・デバイスを平面図で示して例示する図である。図8Bは、3つのマスクのマスク構成を示す図である。図8Cは、2つのマスクを用いたマスク構成を示す図である。図8Dは、図8Cのマスク構成から導出される好適なトレンチ構成を示す図である。 処理前の線のアレイの上面図である。 一実施形態による、一次元反応性イオンエッチング後の図9Aの線のアレイの上面図である。 他の実施形態による、一次元反応性イオンエッチング後の図9Aの線のアレイの上面図である。 好適な処理の流れを示す図である。
図1A及び図1Cの例では、反応種122並びにイオン112が存在する選択された材料の表面上でエッチングを行うことができる。特に、反応種122の少なくとも一部は、当該反応種が抽出開口132を通過することはできるが特定の方向性を有さない中性粒子とすることができ、そして第4層108の種々の表面及び孔110内の第3層106に衝突することができる。上述したように、そして種々の実施形態によれば、イオン112を、第3層106の側壁114、第4層108の上面124、並びに第3層106の表面126に当たるように制限することができる。表面126に関しては、イオン112及び反応種122を、第4層108の材料を第3層106の材料に対して選択的にエッチングするように設計することができ、このことは第4層108のエッチング速度が第3層106のエッチング速度と異なることを意味する。1つの特定例では、第4層108を酸化シリコンとすることができ、第3層106を窒化シリコンとすることができる。従って、図1A〜図1Dのシナリオでは、イオン112及び反応種122は表面126に衝突することができるが、孔110内での第3層106のエッチングは少量しか、あるいは全く行われることがない。従って、図1A及び1Cに示すイオン112による第1照射後に、層108はY軸に沿って細長く延びる孔110を呈することができ、ここでは第4層108の厚さも低減される。一部の実施形態では、その後の処理において第4層108の全体を除去することができる。従って、イオン112による照射中の第4層108の厚さの損失は、その後の処理において第3層106のような下にある層をパターン化するための適切な厚さが残る程度までは許容可能である。これに加えて、第4層108の材料が反応性イオン・エッチング・プロセスによって除去されているので、一旦除去された第4層108の材料を揮発したままにすることができ、孔110の他の領域のようなデバイス構造100の他の表面上に再堆積させないことができる。
図2Aに、本発明の種々の実施形態によるデバイス構造200の処理の側面図を示す。図2Cに、図2Aのシナリオの上面図を示す。デバイス構造200は、第1層102、第2層104、第3層106、及び第3層106上に配置されたピラー202として示すピラー構造を含むことができる。単一のピラー構造を示しているが、種々の実施形態では、ピラー202と同様な複数のピラー構造を第3層106上に設けることができる。ピラー202は、第3層106上に配置されたブランケット領域を含む追加的な層内に配置することができる。これらの層は基板150上に配置することもできる。ピラー202を用いて、第1層102、第2層104、または第3層106のような第4層108の真下に配置された1つ以上の層をパターン化することができる。このことは、ピラー202を直交方向120に沿った縦方向にエッチングすることにより、第1層102、第2層104、または第3層106内または層上に配置された下方の特徴形状を形成することによって達成することができる。
図4A及び図4Bに、本発明の追加的な実施形態による一次元反応性イオン・エッチングの他の例を示す。図4Aは、本発明の種々の実施形態による、図1A〜2Dに関して上述した一次元反応性イオン・エッチングを施した後の、デバイス構造400の最終状態の側面図を示す。図4Bは、図4Aのシナリオの上面図を示す。デバイス構造400は、基板150、第1層402、第2層404、第3層406、及び第4層408を含む。孔410として示す一対の孔が第4層408内に設けられている。孔410は、第4層408の全体を通って延びるビアとして設けることができる。例えば、孔410の初期状態は、例えば図3A及び図3Bに示すように、X−Y平面内に円形形状を有することができる。本実施形態では、前の実施形態と異なり、イオン(図示せず)を、Y軸及びX軸に対して角度φとして示す0でない角度をなす方向412に沿って指向させることができる。一例では、この角度を45度にすることができ、従って孔410はX軸またはY軸に対して45度に配向された長軸を有する楕円形状を有する。以上の方法で、X−Y格子に沿って配置された孔のアレイを、X軸またはY軸に対して目標角度をなす任意方向に沿って長く伸ばすことができる。異なる実施形態によれば、このことは、基板をZ軸の周りに角度φをなすまで回転させることによって達成することができる。
本発明の追加的な実施形態では、Y軸のような共通軸に平行に指向される一対のイオンビームを用いて一次元反応性イオン・エッチングを実行することができる。この一対のイオンビームは、X−Z平面を中心とした鏡像を形成することができ、従ってイオンビームは表面特徴形状の互いに反対側の表面に当たる。例えば、既知のプラズマ装置を用いて表面特徴形状をエッチングすることができ、プラズマ装置は2本の平行な細長い開口を提供し、イオンは、直交方向120に対して+及び−の入射角でプラズマから抽出される。再び図2C及び図2Dに戻れば、イオンを図の左側からY軸に沿って指向させて、側壁210並びに側壁206に当てることができる。このようにして、ピラー202を互いに反対側からエッチングすることができる。他の実施形態では、同様な手順を用いて孔の互いに反対側をエッチングすることができる。
図5A〜5に他の実施形態を示し、ここでは一次元反応性イオン・エッチングを用いて目標寸法を有するアレイを生成する。既知のリソグラフィー技術が遭遇する1つの問題は、マスク形状に基づいて目標形状を層内に生成する能力である。目標形状とは異なる形状を有するマスクを層内に生成するために近接効果補正を用いることが多い。図5Aの左上部分は、基板上の層内に与えるべき目標形状502を示し、この場合長方形である。図5Aの左上部分は、目標形状502を生成するために使用される、近接効果補正されたマスク特徴形状であるマスク特徴形状504も示す。マスク特徴形状504は、マスク特徴形状504内に骨の形状を作り出す末端部508を含む。これに加えて、マスク特徴形状504の幅D2は目標形状の幅D1よりも大きい。マスク特徴形状504と目標形状502とのこうしたサイズ及び形状の相違は、既知の光学的効果に起因し得る。図5Aの左上部分は、さらに、マスク特徴形状504によって生成される最終形状506を示し、最終形状506は目標形状502を近似する。一例では、最終形状506は、マスク特徴形状504を用いてパターン化されたトレンチの形状とすることができる。図示するように、最終形状の幅はD1とすることができ、マスク特徴形状504の幅D2よりも小さくすることができる。
参考として、既知の処理方法では、近接効果補正マスクによって特徴形状のアレイを生成し、特徴形状のアレイが密集している際に、問題に遭遇し得る。例えば、幅D1が幅D2を超えるので、近接マスク特徴形状504を互いに隣接して配置する際に、近接マスク特徴形状によってパターン化された隣接した特徴形状間の最小間隔はおよそ2×(D2−D1)となる。この問題を図5Aの右上部分にさらに例示する。図5Aの右上部分には、マスク特徴形状504の二次元アレイを含む近接マスク510が示されている。2列のマスク特徴形状504が示され、左列内のマスク特徴形状504は、右列内の対応するマスク特徴形状と端部どうしが合うように隣接して配置されている。図5Aの右下部分は、複数のトレンチを有するパターン化(された)層520を例示し、このパターン化層は近接マスク510を用いて形成されている。図示するように、幅D1を有する2列のトレンチ522が上述したように形成されている。D1はD2よりも小さいので、近接マスク510のマスク特徴形状504どうしが互いに接しているものと仮定すれば、(Y軸に沿った)同じ行内の列は、隣接する列からおよそ2×(D2−D1)に等しい間隔S1だけ分離されている。
一部の用途では、図5Aの右下部分に示すトレンチ522の間隔は、図5に関して説明する間隔S2のように、デザインルールまたは目標間隔を超えることができる。既知の処理方法は、この問題に対する適切な解決策を提供しない。本発明の一実施形態によれば、一次元反応性イオン・エッチングを用いて、トレンチ522をY軸に沿って選択的に拡幅しつつ、X軸に沿ってトレンチを拡幅しないことができる。図5に、以上に詳述したように一次元反応性イオン・エッチングを図5Aの右下部分のパターン化層520に適用することによって生成されるパターン化層520の最終構造の例を示す。図示するように、トレンチ522は、今度はD1よりも大きい幅D3を有し、隣接するトレンチ間に間隔S1よりも小さい間隔S2を生成する役目を果たす。以上のようにして、本実施形態は、トレンチのような表面特徴形状の所定方向に沿ったより密な詰め込みを提供しつつ、これらのトレンチを直交する方向に沿って過度に拡幅しない。
種々の追加的な実施形態では、トレンチの互いに反対側の端部に指向されるイオンを提供することによって、トレンチの長さを左右対称に延長することができ、あるいは、トレンチの選択した端部だけに指向されるイオンを提供することによって、トレンチの長さを一方の端部または他方の端部のみに沿って延長することができる。他の種類の孔にも同じことが当てはまる。孔の対称な延長の場合、ウェハーのような基板に指向されるイオンビームによって第1イオン照射を行って、トレンチの第1端部をエッチングすることができる。次に、ウェハーを180度回転させてイオンビームによる第2照射を行い、トレンチの第2端部をエッチングし、その間にイオンビームはこれらの照射間で同じ入射角(例えば角度θを保つ。その代わりに、X−Z平面を中心とした鏡像を形成する一対のイオンビームを単一回の照射の形で提供して、これらのイオンビームがトレンチの互いに反対側の端部に当たることができる。
図7A及び図7Bに、本発明の追加的な実施形態を示し、ここでは一次元反応性イオン・エッチングを用いてメモリ構造内の接点を改良することができる。図7Aには、メモリアレイ702の平面図が示され、メモリアレイ702は、図面中で横に延びるワード線708、及び縦に延びるビット線710を含む。このメモリアレイは、ビット線接点706及び記憶ノード接点704をさらに含む。メモリアレイ702内では、アクティブ領域716が、縦または横方向に対して0でない角度をなす方向に沿って細長く延びる。図Bは、2つのアクティブ領域716を示す拡大図を示す。記憶ノード接点704のような接点は、アクティブ領域716を規定するために使用されるマスクとは異なるマスクを用いて層をパターン化して形成されるので、記憶ノード接点704のオーバーレイ及び配置が関心事となり得る。図Bは、既知のリソグラフィー・パターン化によって形成される初期のコンタクトホール712の形状の概略を示す。初期のコンタクトホールは、本発明の実施形態による一次元反応性イオン・エッチングを実行することによって選択的な方法でサイズを増加させることができる。例えば、イオン(図示せず)を方向720に沿って提供して、初期のコンタクトホール712のサイズを増加させて、コンタクトホール714として示す細長いコンタクトホールを生成することができる。コンタクトホール714は、記憶ノード接点704の面積を増加させると共に、アクティブ領域716とのオーバーラップ(重複)を増加させることができるが、初期コンタクトホール712のサイズを、方向720に直交する方向には増加させない。このことは、所定のコンタクトホールが隣接するアクティブ領域とオーバーラップしないことを保証する。従って、初期のコンタクトホール712の一次元反応性イオン・エッチングは、増加した面積に起因してより低い接触抵抗を生成すると共に、初期のコンタクトホール712とアクティブ領域716とのミスアライメント(不整合)の補正を生じさせることができる。
追加的な実施形態では、一次元反応性イオン・エッチングを用いて、デバイス構造の所定集合を生成するために使用されるマスクの数を低減することを含めて、プロセスの複雑性を低減することができる。参考として、既知の処理方法では、特に、何十ナノメートル以下のオーダーの寸法及び間隔を有する小型で密に詰め込まれた構造をパターン化するためには、デバイス構造の所定集合を所定レベル内に生成することが、複数のマスクを使用することを必要とし得る。このことは、特徴形状間の目標間隔が、単一のマスクを照射することによって実現可能な間隔よりも小さくなり得るためである。所定マスク上の特徴形状どうしを所定間隔以下に離間させると、これらの特徴形状が所定のレジスト層内に適切に結像されないことがある。従って、目標の特徴形状をフォトレジストのような層内に適切に規定するために、マスク用のデザインルールは、マスク特徴形状の閾値間隔S T を指定することができる。例えば、局所的相互接続の特徴形状の密なアレイを生成するためのマスクについては、閾値間隔は、所定のリソグラフィー・ツール、所定のレジスト層に対して10nmとすることができる。一旦、閾値間隔を指定または決定すると、層をパターン化するための所定マスクは、少なくともS T の距離だけ間隔をおいたマスク特徴形状を含むことができる。デバイス特徴形状のグループを基板内の所定レベルに生成し、デバイス特徴形状の少なくとも一部の目標間隔がS T よりも小さい際には、デバイス特徴形状の完成したグループを、層を複数のマスクで照射することによって生成することができ、第1マスクによって生成される特徴形状を第2マスクによって生成される特徴形状と交互に配置しつつ、所定マスク内の特徴形状間の間隔はS T を下回らせないことができる。従来の処理では、この方法の欠点は、複数のマスクを用いてデバイス特徴形状を所定レベル内に生成することができるが、コスト及び複雑性が加わるためである。
図8Aに、目標デバイス構成を例示し、デバイス構成800として平面図で示す。一部の例では、デバイス構成800は、集積回路デバイスの所定レベル上に形成された局所的相互接続パターンを表すことができる。図示するように、デバイス構成800は、長方形(または「線」)のアレイを含み、線のサイズ及び隣接する線どうしの間の距離は変化させることができる。さらに図示するように、隣接する線どうしの間の距離はS T よりも小さくすることができ、隣接する2本の線どうしの間の距離S4で示す。従って、単一マスクを用いてデバイス構成800を生成することは、隣接する線どうしの近接に起因して困難であり得る。図8Bに、3つの異なるマスクを用いて線の異なるグループをパターン化することによってこの問題に応えるための初期の方法を示し、ここでは線の異なるグループが一緒になって、デバイス構成800内の線のグループを構成する。これら3つの異なるマスクは、マスク1、マスク2、及びマスク3として示す。マスク1は、例えば、少なくともS T に及ぶ距離だけ互いに間隔をおいた5本の線を有することができる。これらの線は、X軸及びY軸の両方に沿ってS T よりも大きい距離だけ互いに間隔をおくことができる。マスク2は3本の線を有することができ、マスク3も3本の線を有し、これらの線も、所定マスク内で互いに間隔をおき、この間隔はS T 以上である。異なるマスクによる線のパターンは互いに変位して、デバイス構成800を生成する。従って、1つのマスクによる線は、他のマスクによって形成される線からS T よりも小さい間隔をおいて配置することができる、というのは、これらの線は異なるマスク内に形成されているからである。
本発明の一実施形態によれば、一次元反応性イオン・エッチングを用いることによって、デバイス構成800を生成するためのマスクの数を低減することができる。ここで図8Cを参照すれば、マスク構成820が示されている。マスク構成820は、2つの異なるマスク、即ちマスク4及びマスク5により形成された線のパターンを含む。これら2つの異なるマスクによる異なる線を用いて、デバイス構成800を次の方法で生成することができる。図示するように、マスク構成820内の線どうしは、矢印で示すように互いに間隔をおき、所定マスク内の隣接する線どうしの間の矢印の方向に沿った先端間の距離は少なくともS T に及ぶ。マスク4の線をマスク5の線と入り混じらせて、所定マスク内の隣接する線どうしの間に、矢印の方向に直交する方向に適切な間隔を設けることができる。従って、従来のリソグラフィーによってマスク構成820を用いて、マスク820の線と同じ形状、サイズ、及び間隔を有するトレンチのパターンを生成することができる。こうしたトレンチのパターンは、2つのマスク、即ちマスク4及びマスク5だけを用いて生成することができる。デバイス構成800に整合するトレンチのパターンを生成するために、マスク構成820によって表現されるトレンチのパターンに、一次元反応性イオン・エッチングを施すことができ、ここでイオンは図8Cの矢印の方向に沿って指向される。
追加的な実施形態では、一次元反応性イオン・エッチングを、他の層の上方に延びる線、メサ(丘状体)、またはピラーのような特徴形状のアレイに適用することができる。一部の例では、一次元反応性イオン・エッチングを一方向または一対の方向に沿って適用することができ、イオンは、上述した実施形態のY軸のような軸に平行に、互いに逆向きに指向される。特定実施形態では、一次元反応性イオン・エッチングを適用して、線のアレイ内の線の長さのような線の長さを変化させることができる。このことは、アレイ内の隣接する線どうしの間の先端間の間隔を調整することを促進することができる。図9Aに、処理前の線のアレイの上面図を示す。この例では、線904のアレイ906が基板902上に配置されている。アレイ906は、図2Aのピラー202について示すように、Z軸に沿って基板の層の上方に延びることができる。アレイ906は二次元アレイであり、X軸並びにY軸に沿った隣接体を有する。線904は、Y軸に沿った相対的に大きい寸法を有し、Y軸に沿って延びるものと考えることができる。線904は、間隔S5として示す先端間の間隔によって特徴付けられる。アレイ906は、上述したリソグラフィー・プロセスによって形成することができる。間隔S5は、S6として指定する目標の先端間の間隔とは異なることができる。先端間の間隔を調整するために、以上に詳述した本発明の実施形態による一次元反応性イオン・エッチングにおいてイオンを指向させることができる。一例では、イオンをY軸に関して互いに逆向きの軌跡に沿って指向させることができ、イオンの軌跡はY軸に平行である。イオン軌跡は、直交方向120(図2A参照)に対して0でない入射角をなすことができ、従って線の両端部にイオンが衝突する。
図9Bに、一次元反応性イオン・エッチング後の、図9Aの線のアレイの上面図を示す。この例では、イオン908が、右に向かう矢印で示すようにY軸に平行な第1組の軌跡に沿って指向されるのに対し、イオン910は、左向きの矢印で示すようにY軸に平行な第1組の軌跡とは逆向きの第2組の軌跡に沿って指向される。図示するように、線904の先端間の間隔は、目標の先端間の間隔を表す間隔S6まで増加している。イオン910とイオン908とは互いに逆向きの軌跡に沿って線904へ指向されるので、線904の互いに反対側の先端を同等にエッチングすることができる。図9Aに示すように、線904の左列は初期に右側の先端を位置P0に有するのに対し、線904の右列は初期に左側の先端を位置P1に有する。図9Bのシナリオによるエッチング後には、線904の左列は、右側の先端を、P0に対してY軸に沿って左にシフトした位置P2に有するのに対し、線904の右列は、左側の先端を、P1に対してY軸に沿って右にシフトした位置P3に有する。このようにして、線904のアレイ916が生み出され、隣接する線どうしの間のY軸に沿った先端間の間隔は、アレイ906に対して対称な様式で調整される。
図10に好適な処理の流れ(プロセスフロー)1000を示す。ステップ1002では、表面特徴形状を基板上に用意するステップを実行し、この表面特徴形状は、第1方向に沿った第1寸法、及び第1方向に直交する第2方向に沿った第2寸法を有する。この表面特徴形状は、例えば線、ピラー、メサ、孔、または他の特徴形状とすることができる。ステップ1004では、第1照射において、第1イオンを第1方向に沿って、基板表面に直交する方向に対して0でない入射角で表面特徴形状へ指向させるステップを実行する。第1イオンを指向させるステップは、反応種を含有する反応性雰囲気の存在下で実行し、指向させるステップ後に、表面特徴形状は第2方向に沿った第2寸法を保ち、表面特徴形状は、第1方向に沿った第1寸法とは異なる第3寸法を有する。

Claims (15)

  1. 基板をパターン化する方法であって、
    表面特徴形状を基板上に用意するステップであって、該表面特徴形状は、該基板の基板平面内の第1方向に沿った第1寸法を有し、かつ前記基板平面内の第2方向に沿った第2寸法を有し、前記第2方向は前記第1方向に直交するステップと、
    第1照射において、反応種を含有する反応性雰囲気の存在下で、第1イオンを前記第1方向に沿って、前記基板平面に直交する方向に対して0でない入射角で前記表面特徴へ指向させるステップとを含み、
    前記第1照射は、前記表面特徴形状を前記第1方向に沿ってエッチングし、指向させるステップ後に、前記表面特徴形状は、前記第2方向に沿った前記第2寸法を保ち、前記表面特徴形状は、前記第1方向に沿った前記第1寸法とは異なる第3寸法を有する、基板のパターン化方法。
  2. 前記表面特徴形状が、前記基板上に配置された層内の孔を含み、前記第1寸法は該孔の第1孔寸法であり、前記第2寸法は該孔の第2孔寸法であり、前記第1照射が、前記第1イオン及び前記反応性雰囲気を用いて、前記第1孔寸法を第3孔寸法まで増加させつつ、前記第2孔寸法は増加させない、請求項1に記載の方法。
  3. 前記表面特徴形状がピラー構造または線構造を具え、前記第3寸法は前記第1寸法よりも小さい、請求項1に記載の方法。
  4. 前記表面特徴形状が、第1材料を含む第1層内に配置され、前記表面特徴形状が、第2材料を含む第2層上に配置され、前記表面特徴形状は、前記第1方向に沿って第1エッチング速度でエッチングされ、前記第2層は、前記第1エッチング速度よりも低い第2エッチング速度でエッチングされる、請求項1に記載の方法。
  5. 前記第1イオンが、前記第2方向に平行な長軸及び前記第1方向に平行な短軸を有するリボンビームを形成する、請求項1に記載の方法。
  6. 前記表面特徴形状が第1層内に配置され、前記方法が、
    前記指向させるステップの前に、前記第1層に隣接し、前記表面特徴形状と前記基板との間に配置された少なくとも1つの追加的な層を用意するステップと、
    前記第1照射後に、前記少なくとも1つの追加的な層の、前記表面特徴形状によって規定される領域内で前記少なくとも1つの追加的な層をエッチングすることによって、下側の特徴形状を形成するステップと
    をさらに含む、請求項1に記載の方法。
  7. 前記少なくとも1つび追加的な層をエッチングすることを、前記基板の前記基板平面に直交する方向に沿った垂直方向に実行する、請求項6に記載の方法。
  8. 前記表面特徴形状が、線の第1アレイの形に配置された線であり、前記第1照射の前に、前記線の第1アレイは、隣接する前記線どうしの間の第1軸に沿った第1間隔によって特徴付けられ、前記第1軸は前記第1方向に平行であり、前記第1照射後に、前記線の第2アレイを生成し、該線の第2アレイは、隣接する前記線どうしの間の前記第1軸に沿った前記第1間隔よりも大きい第2間隔によって特徴付けられる、請求項3に記載の方法。
  9. 前記第1イオンが、前記第1方向に平行な第1組の軌跡を有し、前記第1照射が、前記反応種を含有する前記反応性雰囲気の存在下で、第2イオンを、前記第1組の軌跡と逆向きの第2組の軌跡に沿って、前記直交方向に対して前記0でない入射角で前記表面特徴形状へ指向させるステップをさらに含み、前記線の第2アレイは、前記線の第1アレイに対して対称に変位される、請求項8に記載の方法。
  10. 基板をパターン化する方法であって、
    少なくとも1つの孔を有する層を基板上に用意するステップと、
    第1照射において、反応種を含有する反応性雰囲気の存在下で、第1イオンを第1方向に沿って、前記基板の基板平面に直交する方向に対して0でない入射角で前記層へ指向させるステップとを含み、
    前記第1照射は、前記第1イオン及び前記反応性雰囲気を用いて、前記第1方向に沿った前記孔の第1孔寸法を増加させつつ、前記第1方向に直交する第2方向に沿った前記孔の第2孔寸法は増加させない、基板のパターン化方法。
  11. 前記少なくとも1つの孔が孔のアレイで構成され、指向させるステップの前に、前記孔のアレイが、隣接する前記孔どうしの間の前記第1方向に沿った第1間隔によって特徴付けられ、指向させるステップ後に、前記孔のアレイが、前記第1方向に沿った前記第1間隔よりも小さい第2間隔によって特徴付けられる、請求項10に記載の方法。
  12. 前記指向させるステップの前に、前記層に隣接し、前記基板と前記層との間に配置された少なくとも1つの追加的な層を用意するステップと、
    前記第1照射後に、前記少なくとも1つの孔によって規定される領域内で前記少なくとも1つの追加的な層をエッチングすることによって、前記少なくとも1つの追加的な層内に下側の孔を形成するステップと
    をさらに含み、前記エッチングは、前記基板の前記基板平面に直交する方向に沿って行う、請求項10に記載の方法。
  13. 前記層が、酸化シリコン、窒化シリコン、シリコン、炭素、フォトレジスト、TiN、またはARC層を含む、請求項10に記載の方法。
  14. 基板をパターン化する方法であって、
    リソグラフィー・プロセス用のマスクの隣接する特徴形状間の閾値間隔を定めるステップと、
    基板上に層を用意するステップと、
    前記隣接する特徴形状間に前記閾値間隔以上の間隔を有する第1リソグラフィー・マスクを用いて第1組の孔を形成するステップと、
    第1照射において、反応種を含有する反応性雰囲気の存在下で、第1イオンを第1方向に沿って、前記基板の基板平面に直交する方向に対して0でない入射角で前記層へ指向させるステップとを含み、
    前記第1照射後に、前記第1組の孔のうち少なくとも2つの孔は、前記第1方向に沿った前記閾値間隔よりも小さい第1最終孔間隔によって特徴付けられる、基板のパターン化方法。
  15. 隣接する特徴形状間に前記閾値間隔以上の間隔を有する第2リソグラフィー・マスクを用いる第2照射を用いて、前記層内に第2組の孔を形成するステップをさらに含み、前記第2組の孔は、前記閾値間隔よりも小さい第2最終孔間隔によって特徴付けられ、前記第2組の孔は前記第1組の孔の間に入り混じる、請求項14に記載の方法。
JP2017566685A 2015-06-25 2016-06-02 基板をパターン化する方法 Active JP6807879B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/749,822 2015-06-25
US14/749,822 US10008384B2 (en) 2015-06-25 2015-06-25 Techniques to engineer nanoscale patterned features using ions
PCT/US2016/035417 WO2016209580A1 (en) 2015-06-25 2016-06-02 Techniques to engineer nanoscale patterned features using ions

Publications (3)

Publication Number Publication Date
JP2018521509A JP2018521509A (ja) 2018-08-02
JP2018521509A5 true JP2018521509A5 (ja) 2019-09-12
JP6807879B2 JP6807879B2 (ja) 2021-01-06

Family

ID=57586219

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017566685A Active JP6807879B2 (ja) 2015-06-25 2016-06-02 基板をパターン化する方法

Country Status (6)

Country Link
US (4) US10008384B2 (ja)
JP (1) JP6807879B2 (ja)
KR (2) KR20230129577A (ja)
CN (2) CN107924818B (ja)
TW (1) TWI756176B (ja)
WO (1) WO2016209580A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10008384B2 (en) * 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
CN107731737B (zh) * 2016-08-12 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10229832B2 (en) * 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10658184B2 (en) * 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US10347579B2 (en) * 2017-01-19 2019-07-09 Qualcomm Incorporated Reducing tip-to-tip distance between end portions of metal lines formed in an interconnect layer of an integrated circuit (IC)
US10312089B1 (en) * 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US11127593B2 (en) 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10903082B2 (en) * 2018-09-21 2021-01-26 Varian Semiconductor Equipment Associates, Inc. Spacer sculpting for forming semiconductor devices
DE102019120765B4 (de) 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US10867840B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US11640909B2 (en) * 2018-12-14 2023-05-02 Applied Materials, Inc. Techniques and apparatus for unidirectional hole elongation using angled ion beams
US10840132B1 (en) * 2019-07-09 2020-11-17 Applied Materials, Inc. Methods for forming elongated contact hole ends
US11043394B1 (en) * 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484979A (en) 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer
US4680085A (en) 1986-04-14 1987-07-14 Ovonic Imaging Systems, Inc. Method of forming thin film semiconductor devices
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
JPH0821576B2 (ja) * 1988-03-11 1996-03-04 日本電気株式会社 半導体基板の傾斜端面形成法
JPH03235331A (ja) * 1990-02-13 1991-10-21 Nec Corp グレーティングパターン形成方法
JP2932650B2 (ja) * 1990-09-17 1999-08-09 松下電器産業株式会社 微細構造物の製造方法
JPH05326454A (ja) * 1992-05-25 1993-12-10 Nec Kansai Ltd ドライエッチング装置およびエッチング方法
JPH0737869A (ja) * 1993-07-20 1995-02-07 Nippon Steel Corp 半導体装置の製造方法
JP2757838B2 (ja) 1995-10-25 1998-05-25 日本電気株式会社 半導体装置の製造方法
US6414366B1 (en) * 1998-07-29 2002-07-02 Tdk Corporation Thin-film magnetic head wafer and manufacturing method of thin-film magnetic head
US6238582B1 (en) * 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
WO2001065593A1 (en) 2000-02-28 2001-09-07 Nanovation Technologies, Inc. Dense-plasma etching of inp-based materials using chlorine and nitrogen
US6787052B1 (en) 2000-06-19 2004-09-07 Vladimir Vaganov Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers
JP2004152960A (ja) * 2002-10-30 2004-05-27 Toppan Printing Co Ltd ドライエッチング装置及びエッチング方法
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
JP3895281B2 (ja) * 2003-02-18 2007-03-22 Tdk株式会社 パターン形成方法、これを用いた磁気抵抗効果素子及び磁気ヘッドの製造方法、並びに、ヘッドサスペンションアセンブリ及び磁気ディスク装置
JP4349104B2 (ja) * 2003-11-27 2009-10-21 株式会社島津製作所 ブレーズド・ホログラフィック・グレーティング、その製造方法、及びレプリカグレーティング
JP4054325B2 (ja) 2004-08-11 2008-02-27 日本電信電話株式会社 半導体素子の作製方法及び半導体素子
WO2006132660A2 (en) * 2004-09-29 2006-12-14 California Institute Of Technology Material processing method for semiconductor lasers
KR100708530B1 (ko) 2004-12-31 2007-04-16 동부일렉트로닉스 주식회사 얕은 트랜치 소자 분리막 공정 중 디봇 형상 방지방법
JP4867171B2 (ja) 2005-01-21 2012-02-01 富士電機株式会社 半導体装置の製造方法
US7696102B2 (en) 2005-03-31 2010-04-13 Gang Zhang Methods for fabrication of three-dimensional structures
US7842618B2 (en) 2005-08-01 2010-11-30 Spansion Llc System and method for improving mesa width in a semiconductor device
US20070051622A1 (en) 2005-09-02 2007-03-08 Applied Materials, Inc. Simultaneous ion milling and sputter deposition
US7790621B2 (en) 2006-02-23 2010-09-07 Sophia Wen Ion implantation for increasing etch rate differential between adjacent materials
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7446374B2 (en) 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
WO2008011043A2 (en) * 2006-07-18 2008-01-24 Binoptics Corporation Algainn-based lasers with dovetailed ridge
KR100780606B1 (ko) * 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7892928B2 (en) 2007-03-23 2011-02-22 International Business Machines Corporation Method of forming asymmetric spacers and methods of fabricating semiconductor device using asymmetric spacers
US8652763B2 (en) * 2007-07-16 2014-02-18 The Board Of Trustees Of The University Of Illinois Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
US20090084757A1 (en) * 2007-09-28 2009-04-02 Yuri Erokhin Uniformity control for ion beam assisted etching
US20090137106A1 (en) * 2007-11-27 2009-05-28 Nunan Peter D Using ion implantation to control trench depth and alter optical properties of a substrate
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US7767977B1 (en) 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US8101510B2 (en) 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8192641B2 (en) 2009-07-23 2012-06-05 GlobalFoundries, Inc. Methods for fabricating non-planar electronic devices having sidewall spacers formed adjacent selected surfaces
US8089050B2 (en) 2009-11-19 2012-01-03 Twin Creeks Technologies, Inc. Method and apparatus for modifying a ribbon-shaped ion beam
US8421139B2 (en) 2010-04-07 2013-04-16 International Business Machines Corporation Structure and method to integrate embedded DRAM with finfet
US8288741B1 (en) 2011-08-16 2012-10-16 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for three dimensional ion processing
US8339752B1 (en) * 2011-09-26 2012-12-25 Hitachi Global Storage Technologies Netherlands B.V. Magnetic head with wide sensor back edge, low resistance, and high signal to-noise ratio and methods of production thereof
US9653309B2 (en) 2012-05-25 2017-05-16 The Regents Of The University Of California Method for fabrication of high aspect ratio trenches and formation of nanoscale features therefrom
US8637365B2 (en) 2012-06-06 2014-01-28 International Business Machines Corporation Spacer isolation in deep trench
US9118001B2 (en) 2012-07-11 2015-08-25 Varian Semiconductor Equipment Associates, Inc. Techniques for treating sidewalls of patterned structures using angled ion treatment
US9190498B2 (en) 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation
US9287178B2 (en) 2012-10-01 2016-03-15 Globalfoundries Inc. Multi-gate field effect transistor (FET) including isolated fin body
WO2014110379A1 (en) 2013-01-11 2014-07-17 Fei Company Ion implantation to alter etch rate
US9048268B2 (en) * 2013-03-05 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue after dry etch
US9142386B2 (en) 2013-03-15 2015-09-22 Nissin Ion Equipment Co., Ltd. Ion beam line
US20140357080A1 (en) 2013-06-04 2014-12-04 Tokyo Electron Limited Method for preferential shrink and bias control in contact shrink etch
KR101509529B1 (ko) 2013-07-31 2015-04-07 아주대학교산학협력단 3차원 형태의 구리 나노구조물 및 그 형성 방법
US9934981B2 (en) 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US9287123B2 (en) * 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9589811B2 (en) * 2015-06-24 2017-03-07 Varian Semiconductor Equipment Associates, Inc. FinFET spacer etch with no fin recess and no gate-spacer pull-down
US10008384B2 (en) * 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US9984889B2 (en) 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US11127593B2 (en) * 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams
US11043394B1 (en) * 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams

Similar Documents

Publication Publication Date Title
JP2018521509A5 (ja) 基板をパターン化する方法
JP6807879B2 (ja) 基板をパターン化する方法
KR102365485B1 (ko) 기판을 프로세스하는 방법
TWI725230B (zh) 將基底及設置在其上的層圖案化以及形成器件結構的方法
KR102613354B1 (ko) 기판 내에 각진 구조체들을 형성하는 방법 및 광학적 격자를 형성하는 방법
JP6553973B2 (ja) マルチ荷電粒子ビーム用のブランキング装置及びマルチ荷電粒子ビーム描画装置
JP2018503977A (ja) 不均質構造の傾斜イオンビーム処理
JP2022512350A (ja) 傾斜イオンビームを使用した一方向の孔伸長のための技術及び装置
KR102491093B1 (ko) 패턴 형성 방법
US20210335568A1 (en) Pattern Enhancement Using a Gas Cluster Ion Beam
JP6252403B2 (ja) アパーチャ部材製造方法
US20240136194A1 (en) Selective trench modification using directional etch
JP2004214499A (ja) マスク、露光方法および半導体装置
JP4490571B2 (ja) 電子ビーム露光装置、露光方法、及び半導体素子製造方法
JP2006128358A (ja) マスクの欠陥パターン修正方法
KR100640203B1 (ko) 산란 방지 패턴을 갖는 웨이퍼 노광용 마스크
KR20000004534A (ko) 반도체 소자의 셀 프로젝션 마스크(cpm) 제조방법
JP3453345B2 (ja) Eb描画装置、半導体装置の製造方法、および写真製版用マスクの製造方法
JP3453344B2 (ja) 半導体装置の製造方法、および写真製版用マスクの製造方法