TWI756176B - 將基板圖案化的方法 - Google Patents

將基板圖案化的方法 Download PDF

Info

Publication number
TWI756176B
TWI756176B TW105118896A TW105118896A TWI756176B TW I756176 B TWI756176 B TW I756176B TW 105118896 A TW105118896 A TW 105118896A TW 105118896 A TW105118896 A TW 105118896A TW I756176 B TWI756176 B TW I756176B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
holes
exposure
along
Prior art date
Application number
TW105118896A
Other languages
English (en)
Other versions
TW201701323A (zh
Inventor
賽門 羅芙爾
約翰 哈塔拉
亞當 普蘭德
戴輝雄
Original Assignee
美商瓦里安半導體設備公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商瓦里安半導體設備公司 filed Critical 美商瓦里安半導體設備公司
Publication of TW201701323A publication Critical patent/TW201701323A/zh
Application granted granted Critical
Publication of TWI756176B publication Critical patent/TWI756176B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Ceramic Engineering (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

將基板圖案化的方法。所述方法可包括:在基板上提供表面特徵,所述表面特徵具有沿基板平面內的第一方向的第一尺寸及沿所述基板平面內的第二方向的第二尺寸,其中第二方向垂直於第一方向;以及在存在含有反應性物質的反應性氣氛的條件下,相對於基板平面的垂線以非零入射角沿第一方向將第一曝光中的第一離子引導至表面特徵;其中第一曝光沿第一方向蝕刻表面特徵,其中在所述引導之後,表面特徵保持沿第二方向的第二尺寸,且其中所述表面特徵具有沿第一方向的不同於第一尺寸的第三尺寸。

Description

將基板圖案化的方法
本發明實施例涉及電晶體加工技術,且更具體而言,涉及對三維裝置構造的加工。
隨著半導體裝置繼續按比例縮放至更小的尺寸,將特徵圖案化的能力變得愈發難以實現。一方面,這些困難包括對於給定的技術世代而言獲得具有目標大小的特徵的能力。另一困難為獲得經圖案化特徵的正確形狀及堆積密度(packing density)的能力、以及獲得對在先前加工操作中所圖案化的結構進行正確覆蓋的能力。
在另一實例中,覆蓋誤差(overlay error)代表對將微影技術擴展至高級節點的挑戰。儘管已使用多重圖案化(multi-patterning)來解決特徵的線條寬度及線條節距的減小,然而覆蓋成為越來越大的挑戰。一個原因是隨著特徵線條/空間的減小,覆蓋需求變得更小。第二個原因是隨著多個切割遮罩(cut mask)正投入使用,出現了基板上的切割遮罩與其他特徵之間的多重覆蓋問題。
針對這些及其他考量,本發明的改良可為有用的。
提供此發明內容是為了以簡化形式介紹以下在具體實施方式中所進一步闡述的一系列概念。此發明內容並非旨在識別所主張主題的關鍵特徵或本質特徵,且此發明內容也並非旨在幫助確定所主張主題的範圍。
在一個實例中,一種將基板圖案化的方法可包括:在所述基板上提供表面特徵,所述表面特徵具有沿基板平面內的第一方向的第一尺寸及沿所述基板平面內的第二方向的第二尺寸,其中所述第二方向垂直於所述第一方向;以及在存在含有反應性物質的反應性氣氛的條件下,相對於所述基板平面的垂線以非零入射角沿所述第一方向將第一曝光中的第一離子引導至所述表面特徵;其中所述第一曝光沿所述第一方向蝕刻所述表面特徵,其中在所述引導之後,所述表面特徵保持沿所述第二方向的所述第二尺寸,且其中所述表面特徵具有沿所述第一方向的不同於所述第一尺寸的第三尺寸。
在另一實施例中,一種將基板圖案化的方法可包括:在所述基板上提供具有至少一個孔的層;以及在存在含有反應性物質的反應性氣氛的條件下,相對於基板平面的垂線以非零入射角沿第一方向將第一曝光中的第一離子引導至所述層;其中所述第一曝光利用所述第一離子及所述反應性氣氛增大所述孔沿所述第 一方向的第一孔尺寸,而不增大所述孔沿與所述第一方向垂直的第二方向的第二孔尺寸。
在另一實施例中,一種將基板圖案化的方法可包括:確定在用於微影製程的相鄰遮罩特征之間的臨界間距;在所述基板上提供層;利用第一微影遮罩形成第一組孔,所述第一微影遮罩的相鄰特徵之間所具有的間距不小於所述臨界間距;以及在存在含有反應性物質的反應性氣氛的條件下,相對於基板平面的垂線以非零入射角沿第一方向將第一曝光中的第一離子引導至所述層,其中在所述第一曝光之後所述第一組孔的至少兩個孔由沿所述第一方向的第一最終孔間隔表徵,所述第一最終孔間隔小於所述臨界間隔。
100、200、300、400、600:裝置結構
102、302、402:第一層
104、304、404:第二層
106、306、406:第三層
108、308、408:第四層
110、310、410:孔
112、204、908、910:離子
114、116、206、208、210:側壁
118:基板平面
120:垂線
122:反應性物質
124:頂面
126:表面
130:提取板
132:提取開孔
134:電漿
150:基板
202:柱
412、720:方向
502:目標形狀
504:遮罩特征
506:最終形狀
508:末端部分
510:鄰近遮罩
520:經圖案化層
522:溝槽
602:源極/汲極區
604、606:接觸通孔
702:記憶體陣列
704:存儲節點觸點
706:位元線觸點
708:字元線
710:位元線
712:初始接觸孔
714:接觸孔
716:主動區
800:裝置排列
820:遮罩排列
830:溝槽排列
902:基板
904:線條
906、916、926:陣列
1000:示例性製造流程
1002、1004:步驟
D1、D2、D3、D4:寬度
P0、P1、P2、P3、P4:位置
S1、S2:間隔
S4:距離
S5、S6:間距
ST:臨界間隔
X、Y、Z:方向軸
θ、Φ:角
圖1A繪示根據本發明的各種實施例來加工裝置結構的側視圖。
圖1B繪示在根據圖1A所示情景加工所述裝置結構之後的側視圖。
圖1C繪示圖1A所示情景的俯視平面圖。
圖1D繪示圖1B所示情景的俯視平面圖。
圖2A繪示根據本發明的各種實施例來加工裝置結構的側視圖。
圖2B說明在根據圖2A所示情景加工所述裝置結構之後的側視圖。
圖2C繪示圖2A所示情景的俯視平面圖。
圖2D繪示圖2B所示情景的俯視平面圖。
圖3A至圖3D說明根據本發明另一些實施例的一維反應性離子蝕刻的另一實例。
圖4A及圖4B說明根據本發明另一些實施例的一維反應性離子蝕刻的另一實例。
圖5A至圖5D繪示其中可利用一維反應性離子蝕刻來產生孔陣列的另一實施例。
圖6說明根據本發明的另一些實施例利用一維反應性離子蝕刻所形成的接觸結構。
圖7A及圖7B繪示本發明的其中對記憶體結構應用一維反應性離子蝕刻的又一實施例。
圖8A說明以平面圖示出的目標裝置排列,圖8B繪示三個遮罩的遮罩排列,圖8C繪示使用兩個遮罩的示例性遮罩排列,而圖8D繪示自圖8C所示遮罩排列衍生出的示例性溝槽排列。
圖9A繪示線條陣列在加工之前的俯視平面圖。
圖9B繪示圖9A所示線條陣列在根據一個實施例的一維反應性離子蝕刻之後的俯視平面圖。
圖9C繪示圖9A所示線條陣列在根據另一實施例的一維反應性離子蝕刻之後的俯視平面圖。
圖10繪示示例性製造流程。
現在,在下文中將參照其中示出某些實施例的附圖來更充分地闡述本發明實施例。本發明的主題可實施為許多不同形式,而不應被視為僅限於本文所述實施例。提供這些實施例是為了使此公開內容將透徹及完整,並將向所屬領域中的技術人員充分傳達所述主題的範圍。在所有圖式中,相同的編號指代相同的元件。
本發明實施例提供將基板圖案化的新穎技術,且具體而言,提供蝕刻例如表面特徵等安置於基板上的特徵的新穎技術。本文所用用語“基板”可指代例如半導體晶片、絕緣晶片、陶瓷及安置於其上的任意層或結構等實體。由此,表面特徵、層、一系列層或其他實體可被視為安置於基板上,其中所述基板可代表例如矽晶片、氧化物層等結構的組合。
在各種實施例中,所述表面特徵可用於將安置於所述表面特徵之下的層圖案化。表面特徵的實例包括形成於層內的孔,例如通孔或溝槽。在其他實例中,表面特徵可為在基板上方延伸的柱、線條結構(線條)或其他特徵。用語“孔”可指代延伸穿過整個層的結構,例如通孔。用語“孔”也可指代例如在層內形成的不延伸穿過層的整個厚度的凹坑(depression)或凹陷(recess)等結構。此外,本文所用用語“層”可指代連續的層、具有包覆 區(blanket region)及獨立特徵區的半連續的層、或大體由相同材料構成且安置於共用層或基板上的獨立特徵群組。
在各種實施例中,提供多種技術以在實行微影加工而形成一或多個表面特徵之後修改所述一或多個表面特徵。此種後微影加工(post-lithography processing)可克服已知微影技術的不足,特別是在奈米尺度方面的不足,例如對於最小尺寸介於2nm至100nm範圍內的特徵而言的不足。
根據各種實施例,離子被沿第一方向或目標方向引導至層。離子的目標方向可被設計成將所述離子引導至表面特徵的給定表面,以蝕刻所述給定表面、而不蝕刻其他表面。
圖1A繪示根據本發明的各種實施例來加工裝置結構100的側視圖。圖1C繪示圖1A所示情景的俯視平面圖。裝置結構100可包括第一層102、第二層104、第三層106及第四層108。這些層可安置於基板150上。第四層108可包括孔110,其中孔110可延伸穿過整個第四層108。可利用已知微影技術在第四層108中形成孔110。孔110可用於將安置於第四層108之下的至少一個額外層(例如,第一層102、第二層104或第三層106)圖案化。第四層108的實例包括光阻劑、抗反射塗層(antireflective coating layer,ARC)、氮化矽、氧化矽、矽或其他層。第三層106、第二層104或第一層102的實例包括抗反射塗層(ARC)、氮化矽、氧化矽、矽、碳、光阻劑或TiN。所述實施例並非受限於此上下文。具體而言,ARC層或塗料可包含下列中的一種或多種的混合物: 碳、矽、氮、氫及氧。
為將下伏層圖案化,可為孔110提供適合的尺寸,包括沿第一方向(例如,沿所示笛卡爾坐標系的Y軸的方向)的第一尺寸。孔110也可具有沿第二方向(例如,沿X軸)的第二尺寸。在某些情形中,沿第一方向且不沿垂直於第一方向的第二方向來選擇性地增大孔110的尺寸可為有益的。在圖1A及圖1C所示實例中,孔110可在X-Y平面內具有矩形形狀,所述X-Y平面代表平行於基板平面118的平面。
圖1A及圖1C說明一種用於沿平行於Y軸的方向增大孔110的第一孔尺寸的示例性方法。具體而言,如圖1C中所示,沿第一方向(即,沿平行於Y軸的方向)將離子112引導至第四層108。如圖1A中所示,還沿著相對於基板平面118而形成非零入射角(示出為角θ)的軌跡來引導離子112。這些軌跡使得離子112能夠碰撞側壁114、而不碰撞側壁116,其中側壁116可平行於Y-Z平面延伸。如此一來,可蝕刻側壁114的材料,而不蝕刻側壁116的材料。
圖1B繪示在根據圖1A所示情景加工裝置結構100之後的側視圖。圖1D繪示圖1B所示情景的俯視平面圖。如圖所示,孔110沿第一方向(平行於Y軸)具有大於第一孔尺寸的第三孔尺寸,而沿第二方向(平行於X軸)的第二孔尺寸保持不變。因此,可僅沿Y軸且不沿X軸來選擇性地延伸孔110的大小。
根據各種實施例,在存在含有反應性物質(示出為反應 性物質122)的反應性氣氛的條件下,可如由黑點所示將離子112引導至第四層108。可通過能夠提供反應性物質及離子束的適合設備來提供離子112及反應性物質122。此種設備的實例包括具有通過提取開孔(extraction aperture)來提取離子並將所述離子引導至基板的提取系統的電漿式設備。圖1A說明提取板130的實例,提取板130包括用於自電漿134提取離子112並將離子112引導至第四層108的提取開孔132。可通過控制電漿系統的已知參數來控制離子112相對於垂線120的入射角,所述已知參數包括電漿功率、氣體壓力、開孔大小及提取板的不同部分之間的位移等因素。在某些實例中,可沿著彼此平行或彼此偏差+/-5度的軌跡來提取離子。此使得能夠將離子112作為平行的離子束而沿目標方向引導。
圖1C說明提取板130的變型,其中提取開孔132沿平行於X軸的第二方向伸長,此意味著提取開孔132的沿X軸的尺寸大於提取開孔132的沿Y軸的尺寸。在某些實例中,提取開孔132的沿X軸的尺寸可大於100mm,而提取開孔132的沿Y軸的尺寸小於30mm。所述實施例並非受限於此上下文。離子112可相應地形成帶狀束(ribbon beam),所述帶狀束沿X軸具有高的均勻度,其中離子112的軌跡如圖所示沿Y軸具有高的對齊度(degree of alignment)。換言之,離子112可形成帶狀束,所述帶狀束具有平行於第一方向(Y軸)的短軸及平行於第二方向(X軸)的長軸,其中第二方向垂直於第一方向。
另外,反應性物質122可以中性物質(neutral)、離子、自由基的形式、或者中性物質、離子及自由基的組合形式來提供。離子112自身可為惰性離子或可包含反應性物質。離子112與反應性物質122的組合可包含用於對材料實行反應性離子蝕刻的已知配方(recipe),所述材料包括氧化矽層、氮化矽層、矽層、碳層及其他材料體系。所述實施例並非受限於此上下文。
當與反應性物質122相結合地使用離子112(其中所述反應性物質被設計成促進反應性離子蝕刻)時,此配置使得能夠進行新穎的“一維反應性離子蝕刻”製程,在所述製程中可將反應性離子蝕刻限制至基板表面上的目標特徵、而不影響其他特徵。一維反應性離子蝕刻可不同於傳統反應性離子蝕刻,在所述傳統反應性離子蝕刻中,所引導的離子可沿垂直方向及沿基板的與所述垂直方向垂直的平面內的多於一個方向來蝕刻材料。舉例而言,在對形成於層內的通孔結構進行的傳統反應性離子蝕刻(灰化)中,通孔結構的直徑可沿X方向及Y方向以非選擇性方式增大。
在圖1A及圖1C所示實例中,蝕刻可在其中存在反應性物質122及離子112的選擇材料的表面上進行。具體而言,反應性物質122中的至少某些反應性物質可為中性物質,其中所述反應性物質可穿過提取開孔132而不具有具體方向性,且可在孔110內撞擊第四層108的各種表面及第三層106的各種表面。如上所述,且根據各種實施例,離子112可被限制成撞擊側壁114、第四 層108的頂面124及第三層106的表面126。至於表面126,離子112及反應性物質122可被設計成相對於第三層106的材料來選擇性地蝕刻第四層108的材料,此意味著第四層108的蝕刻速率不同於第三層106的蝕刻速率。在一個具體實例中,第四層108可為氧化矽且第三層106可為氮化矽。因此,儘管離子112及反應性物質122可撞擊表面126,然而在圖1A至圖1D所示情景中可在孔110內幾乎不發生或根本不發生對第三層106的蝕刻。因此,在如圖1A及圖1C所示對離子112進行第一曝光之後,層108可表現出沿Y軸伸長的孔110,其中第四層108的層厚度也減小。在某些實施例中,第四層108可在後續加工中被整體移除。因此,在所述對離子112進行的曝光期間第四層108的厚度損失可為可接受的,其損失程度使得保持足夠的厚度以在後續加工中將例如第三層106等下伏層圖案化。另外,由於第四層108的材料是通過反應性離子蝕刻製程而移除,因此第四層108的材料一旦被蝕刻便可保持揮發,且可不在裝置結構100的其他表面上(例如,孔110的其他區中)重新沉積。
圖2A繪示根據本發明的各種實施例來加工裝置結構200的側視圖。圖2C繪示圖2A所示情景的俯視平面圖。裝置結構200可包括第一層102、第二層104、第三層106及安置於第三層106上的柱結構(示出為柱202)。儘管示出單一柱結構,然而在各種實施例中,可在第三層106上設置與柱202相似的多個柱結構。可在安置於第三層106上的包含包覆區的額外層內安置柱202。這 些層也可安置於基板150上。柱202可用於將安置於第四層108之下的一或多個層(例如,第一層102、第二層104或第三層106)圖案化。此可藉助通過沿垂線120垂直地蝕刻柱202而形成安置於第一層102、第二層104或第三層106中的下部特徵來實現。
由於可使用柱202來界定下伏層中具有與柱202相似尺寸的一或多個裝置結構,因此對柱202的尺寸進行精確控制可為有益的。在各種實施例中,柱202的沿X軸、Y軸及Z軸的尺寸可大約為幾奈米或幾十奈米。在某些情形中,可利用已知微影製程來形成柱202。在微影製程完成之後,柱202的位於X-Y平面內的相對尺寸可如圖2B中所示,其中沿Y軸的尺寸大於沿X軸的尺寸。如圖所示,柱202在X-Y平面中具有矩形的、非正方形形狀。當柱202的目標形狀為正方形時,根據本發明的實施例,可如圖2A及圖2C中所示將離子204引導至柱202。可以以上參照圖1A及圖1C所大體闡述的方式來提供離子204,其中離子204的軌跡可平行於Y軸且可相對於垂線120而形成非零入射角(如由角θ所示)。此可確保側壁206得到蝕刻、而側壁208保持不被蝕刻。如此一來,柱202的尺寸可沿Y軸選擇性地減小,而柱202的沿X軸的尺寸保持不變。在圖2D所示實例中,對離子204進行的曝光可使得在X-Y平面中為柱202產生正方形形狀。另外,由於離子204是在存在反應性物質122的條件下提供,因此離子204可選擇性地蝕刻柱202,以使得不蝕刻第三層106、或者以較柱202的蝕刻速率低得多的速率來蝕刻第三層106。在其他實施例 中,線條陣列形成於基板上,其中線條沿所述線條的短方向的寬度大於目標線條寬度。所述線條陣列可經歷一維反應性離子蝕刻,在所述一維反應性離子蝕刻中,離子是在與線條的長方向垂直的方向上朝所述線條引導。此可選擇性地減小線條的沿所述線條的短方向的線條寬度、而不減小線條長度,從而使得在產生目標線條寬度的同時能夠在相鄰線條之間實現緊密的尖端-尖端間距。
圖3A至圖3D說明根據本發明的另一些實施例的一維反應性離子蝕刻的另一實例。圖3A繪示根據本發明各種實施例的裝置結構300的初始狀態的側視圖。圖3B繪示圖3A所示情景的俯視平面圖。圖3C繪示裝置結構300在如以上參照圖1A至圖2D所大體闡述般利用離子進行加工之後的最終狀態的側視圖。圖3D繪示圖3C所示情景的俯視平面圖。在圖3A至圖3B中所示初始狀態中,裝置結構300包括基板150、第一層302、第二層304、第三層306及第四層308。在第四層308內設置被示出為孔310的一對孔。可將孔310設置成延伸穿過整個第四層308的通孔。孔310可如圖所示在X-Y平面中具有圓形形狀。如圖3B及圖3D中所示,在利用離子(為清晰起見未在圖中示出)進行加工之後,孔310的尺寸可選擇性地沿Y軸增大、而不沿X軸增大。
圖4A及圖4B說明根據本發明另一些實施例的一維反應性離子蝕刻的另一實例。圖4A繪示根據本發明各種實施例的裝置結構400在經歷如以上參照圖1A至圖2D所闡述的一維反應性離 子蝕刻之後的最終狀態的側視圖。圖4B繪示圖4A所示情景的俯視平面圖。裝置結構400包括基板150、第一層402、第二層404、第三層406及第四層408。在第四層408內設置被示出為孔410的一對孔。可將孔410設置成延伸穿過整個第四層408的通孔。作為參考,孔410的初始狀態可如例如在圖3A及圖3B中所示在X-Y平面中具有圓形形狀。在此實施例中,不同於先前的實施例,可沿相對於Y軸及X軸形成非零角(示出為角Φ)的方向412引導離子(圖中未示出)。在一個實例中,此角可為45度,以使孔410呈現為具有相對於X軸或Y軸以45度來取向的長軸的橢圓形形狀。利用以上方式,沿X-Y方格排列的孔陣列可沿相對於X軸或Y軸形成目標角的任意方向加長。根據不同的實施例,此可通過繞Z軸而旋轉基板直至形成角Φ為止來實現。
在各種實施例中,包括在圖1A至圖4B所示的其中基板可包括多個層的上述實施例的變型中,可在任意層內實行一維反應性離子蝕刻。舉例而言,重新參照圖2A及圖2B,一個目標可為將柱202的形狀轉移至基板150中。因此,如圖2A中所示,可從柱202開始實行已知的垂直反應性離子蝕刻製程、而非對柱202實行一維反應性離子蝕刻,在所述已知的垂直反應性離子蝕刻製程中,離子是沿Z軸而引導以將圖2A所示柱202的形狀及大小轉移至第三層106中。隨後,形成於第三層106中的具有圖2A所示柱202的形狀及大小的柱可經歷一維反應性離子蝕刻,以在第三層106內產生具有圖2B中所示柱202的形狀及大小的柱。可接著 通過垂直反應性離子蝕刻將此位於第三層106內的柱轉移至第二層104、第一層102、並最後轉移至基板150。作為另外一種選擇,從圖2A所示結構開始,第三層106及第二層104可經歷垂直反應性離子蝕刻,以在第二層104中形成柱結構。第二層104中的柱結構可接著經歷一維反應性離子蝕刻,以形成具有圖2B所示柱202的形狀及大小的柱。隨後,可實行進一步的垂直反應性離子蝕刻,以將第二層104的柱轉移至第一層102及基板150中;等等。
同樣地,在其中使用一維反應性離子蝕刻來產生伸長孔的實施例中,可在層堆疊中的任意給定層中形成所述伸長孔,同時使用垂直蝕刻在安置於所述伸長孔之下的層中形成具有所述伸長孔的形狀及大小的下部孔。
在本發明的另一些實施例中,可利用平行於共用軸(例如Y軸)而引導的一對離子束來實行一維反應性離子蝕刻。所述一對離子束可以X-Z平面為中心形成鏡像,以使所述離子束碰撞表面特徵的相對的表面。舉例而言,可採用已知電漿設備來蝕刻表面特徵,其中所述電漿設備提供兩個平行的伸長開孔,在所述兩個平行的伸長開孔中,離子是相對於垂線120以+θ及-θ的入射角自電漿提取。重新轉至圖2C及圖2D,可自圖的左側沿Y軸引導離子,以碰撞側壁210及側壁206。以此種方式,可自不同的側蝕刻柱202。在其他實施例中,可採用相似的程式來蝕刻孔的相對的側。
圖5A至圖5D繪示其中可利用一維反應性離子蝕刻來產 生具有目標尺寸的孔陣列的另一實施例。已知微影技術所遇到的一個問題是基於遮罩形狀而在層中產生目標形狀的能力。常常使用鄰近校正(proximity correction)在層中產生具有與目標形狀不同的形狀的遮罩。圖5A繪示目標形狀502,在此種情形中,矩形被傳遞至基板上的層中。圖5A還示出使用經鄰近校正的遮罩特征(遮罩特征504)來產生目標形狀502。遮罩特征504包括在遮罩特征504中生成骨形狀的末端部分508。另外,遮罩特征504的寬度D2大於目標形狀的寬度D1。遮罩特征504與目標形狀502之間在大小及形狀方面的此種差異可歸因於已知的光學效應。圖5A還繪示由遮罩特征504所產生的最終形狀506,其中最終形狀506近似於目標形狀502。在一個實例中,最終形狀506可為溝槽的利用遮罩特征504而圖案化的形狀。如圖所示,最終形狀506的寬度可為D1且可小於遮罩特征504的寬度D2。
作為參考,在已知的加工方式中,當欲通過鄰近校正遮罩來產生其中特徵陣列將被緊密地間隔開的特徵陣列時可遇到問題。舉例而言,由於寬度D1超過寬度D2,因此當鄰近遮罩特征504被放置成彼此相鄰時,由所述鄰近遮罩特征所圖案化的相鄰特徵之間的最小間距為近似2X(D2-D1)。在圖5B中進一步說明此問題。在圖5B中,示出包括遮罩特征504的二維陣列的鄰近遮罩510。示出兩列遮罩特征504,其中左列中的遮罩特征504安置成以端對端的方式與右列中的對應遮罩特征(也示出為遮罩特征504)相鄰。圖5C說明具有多個溝槽的經圖案化層520,其中所 述經圖案化層是利用鄰近遮罩510而形成。如圖所示,可如上所論述將兩列溝槽522形成為具有寬度D1。由於D1小於D2,因此同一行(沿Y軸)內的列與相鄰的列分隔開等於近似2X(D2-D1)的間隔S1,其中假定鄰近遮罩510的遮罩特征504彼此貼靠。
在某些應用中,溝槽522的間隔(如圖5C中所示)可超過設計規則或參照圖5D所論述的目標間隔(例如間隔S2)。已知的加工方式無法提供適當的解決方案來處理此問題。根據本發明的實施例,可使用一維反應性離子蝕刻沿Y軸選擇性地加寬溝槽522、而不沿X軸加寬所述溝槽。圖5D說明經圖案化層520的通過對圖5C所示經圖案化層520應用以上所詳述的一維反應性離子蝕刻而產生的最終結構的實例。如圖所示,溝槽522現在具有大於D1的寬度D3,且用於在相鄰溝槽之間產生小於間隔S1的間隔S2。利用以上方式,本發明實施例沿給定方向提供例如溝槽等表面特徵的更緊密堆積、同時不沿垂直方向過度地加寬所述溝槽。
在各種其他實施例中,可通過提供被引導至所述溝槽的相對端的離子而使溝槽的長度對稱地伸長,或者可通過提供被僅引導至所述溝槽的所選擇端的離子而使溝槽的長度僅沿一個端或另一個端加長。此同樣適用於其他類型的孔。在對稱地加長孔的情形中,可通過被引導至例如晶片等基板的離子束來提供第一離子曝光,以蝕刻溝槽的第一端。可接著將所述晶片旋轉180度以被所述離子束進行第二曝光,在所述第二曝光中蝕刻所述溝槽的第二端,同時所述離子束在各曝光之間保持同一入射角(例如角 θ)。作為另外一種選擇,可在單一曝光中提供以X-Z平面為中心形成鏡像的一對離子,以使所述離子束碰撞溝槽的相對的端。
圖6說明根據本發明的另一些實施例利用一維反應性離子蝕刻所形成的接觸結構。在圖6中示出裝置結構600的俯視平面圖。裝置結構600可代表電晶體的源極/汲極(source/drain,S/D)接觸結構。在圖6所示實例中,源極/汲極區602具有沿Y軸伸長的窄的形狀。圖6還繪示被示出為接觸通孔604的接觸孔陣列,其中接觸通孔604可包括用於接觸源極/汲極區602的金屬觸點。根據本發明的實施例,在初始時形成於圖案化層(圖中未示出)中的接觸通孔606在初始時可具有圓形形狀。具有圓形形狀的初始接觸通孔的直徑可受源極/汲極區602的寬度D4限制。出於此原因,利用接觸通孔606而形成的圓形觸點可能具有不足以對源極/汲極區602產生低的接觸電阻的接觸區域。通過利用一維反應性離子蝕刻沿Y軸增大接觸通孔的大小,可將接觸通孔606轉變成接觸通孔604。如圖所示,接觸通孔604可為橢圓形形狀且總面積大於接觸通孔606。另外,由於蝕刻是通過與Y軸平行地引導離子來實行,因此接觸通孔604不會沿X軸延伸超過源極/汲極區602。
圖7A及圖7B繪示本發明的其中可利用一維反應性離子蝕刻來改善記憶體結構中的觸點的又一實施例。在圖7A中示出記憶體陣列702的平面圖,記憶體陣列702包括在圖中水平排列的字元線708及垂直排列的位元線710。所述記憶體陣列還包括位元 線觸點706及存儲節點觸點704。在記憶體陣列702中,主動區716沿相對於垂直方向或水準方向形成非零角的方向伸長。圖7B繪示示出兩個主動區716的放大圖。由於例如存儲節點觸點704等觸點可利用與用於界定主動區716的遮罩不同的遮罩將層圖案化來形成,因此可能要考慮到存儲節點觸點704的重疊及放置。圖7B示出通過已知的微影圖案化而形成的初始接觸孔712的形狀的輪廓。可根據本發明的實施例通過實行一維反應性離子蝕刻而以選擇性方式增大所述初始接觸孔的大小。舉例而言,可沿方向720提供離子(圖中未示出),以增大初始接觸孔712的大小,從而產生被示出為接觸孔714的伸長接觸孔。接觸孔714可增大存儲節點觸點704的面積,並增大與主動區716的重疊、而不在垂直於方向720的方向上增大初始接觸孔712的大小。此可確保給定接觸孔不與相鄰主動區重疊。因此,對初始接觸孔712進行的所述一維反應性離子蝕刻可因面積的增大而產生較低的接觸電阻,並對初始接觸孔712與主動區716之間的未對齊(misalignment)進行修正。
在另一些實施例中,可採用一維反應性離子蝕刻來減小製程複雜度,包括減小用於產生給定的一組裝置結構的遮罩的數目。作為參考,在已知加工方式中,特別是在將具有約幾十奈米或小於幾十奈米的尺寸及間隔的小且緊密堆積的結構圖案化的已知加工方式中,在給定層階中產生給定的一組裝置結構可能需要使用多個遮罩。此是因為各特徵之間的目標間距可小於通過將單 一遮罩曝光而可實現的間距。當各特徵在給定遮罩上被間隔成小於給定間隔時,所述各特徵可能無法在給定光阻層(resist layer)內恰當地成像。因此,遮罩的設計規則可規定遮罩特征的臨界間隔ST,以在例如光阻劑等層內恰當地界定目標特徵。舉例而言,對於產生緊湊的局部互連特徵陣列的遮罩而言,給定微影工具、給定光阻層的臨界間隔可為10nm。臨界間隔一旦被規定或確定,用於將層圖案化的給定遮罩便可包括間隔開至少ST的距離的多個遮罩特征。當欲在處於給定層階處的基板中產生裝置特徵群組、且所述裝置特徵中的至少某些裝置特徵的目標間隔小於ST時,可通過將層曝露至多個遮罩而產生完整的裝置特徵群組,其中由第一遮罩所產生的特徵可與由第二遮罩所產生的特徵交插(interleaved),而同時給定遮罩中的各特徵之間的間隔不低於ST。在傳統加工中,此方式的缺點是由於可採用多個遮罩在給定層階內產生裝置特徵,因此會增加成本及複雜度。
圖8A說明在平面圖中被示出為裝置排列800的目標裝置排列。在某些實例中,裝置排列800可代表形成於積體電路裝置的給定層階上的局部互連圖案。如圖所示,裝置排列800包括矩形(或“線條”)陣列,其中線條的大小及相鄰線條之間的距離可有所變化。如圖進一步所示,相鄰線條之間的距離可小於ST(如由兩個相鄰線條之間的距離S4所示)。因此,可因相鄰線條的鄰近性而難以使用單一遮罩來產生裝置排列800。圖8B示出通過利用三個不同的遮罩將不同的線條群組圖案化而處理此問題的初始 方式,其中所述不同的線條群組一起構成裝置排列800中的線條群組。所述三個不同的遮罩被示出為遮罩1、遮罩2及遮罩3。遮罩1可具有例如彼此相互間隔開至少如ST一樣大的距離的五個線條。所述線條可沿X軸及Y軸二者彼此間隔開大於ST的距離。遮罩2可具有三個線條,而遮罩3也具有三個線條,其中所述線條也在給定遮罩中被彼此間隔成大於或等於ST。具有不同遮罩的線條圖案相對於彼此存在位移,以產生裝置排列800。因此,來自一個遮罩的線條可被放置成與由另一遮罩所形成的線條間隔開小於ST的間距,原因在於所述線條形成於不同的遮罩中。
根據本發明的實施例,可通過利用一維反應性離子蝕刻而減小用於產生裝置排列800的遮罩的數目。現在轉至圖8C,其示出遮罩排列820。遮罩排列820包括由兩個不同的遮罩-遮罩4及遮罩5-形成的線條圖案。來自所述兩個不同的遮罩的不同線條可用於以以下方式產生裝置排列800。如圖所示,將遮罩排列820中的線條如箭頭所示彼此相互間隔開,其中給定遮罩內的相鄰線條之間沿所述箭頭方向的尖端-尖端距離至少與ST一樣大。遮罩4的線條之間可散佈有遮罩5的線條,以垂直於箭頭方向在給定遮罩內的相鄰線條之間提供充足的間距。因此,可通過傳統微影技術來使用遮罩排列820,以產生與遮罩排列820的線條具有相同的形狀、大小及間隔的溝槽圖案。可利用僅兩個遮罩-遮罩4及遮罩5-來產生此溝槽圖案。為產生與裝置排列800匹配的溝槽圖案,由遮罩排列820所代表的溝槽圖案可經歷其中離子沿圖8C所 示箭頭方向被引導的一維反應性離子蝕刻。
圖8D示出被標示為溝槽排列830的示例性溝槽排列,其中具有遮罩排列820的初始結構的溝槽已被蝕刻成增加其沿所述箭頭方向的尺寸。以此種方式,相鄰線條之間的最終尖端-尖端間距可小於ST。溝槽排列830可被視為與裝置排列800匹配。另外,由於蝕刻不在垂直於箭頭的方向上進行,因此溝槽的沿垂直於箭頭的方向的寬度可得到保持。因此,可通過利用一維反應性離子蝕刻減小相鄰特徵的尖端-尖端間隔的能力而減小用於產生裝置排列800的遮罩的數目。除降低製程複雜度以外,遮罩數目的減小還有助於避免不同遮罩層階之間的覆蓋問題。對於每一遮罩的給定覆蓋誤差,由於所述覆蓋誤差以加成(additive)方式在不同的遮罩之間累加,因此減小用於產生給定圖案的遮罩的數目會減小總覆蓋誤差。
在另一些實施例中,用於產生具有給定層階的給定排列的遮罩組的數目可自兩個遮罩減小至一個遮罩、或自四個遮罩減小至三個遮罩。所述實施例並非受限於此上下文。更一般而言,本發明實施例包括一種採用第一微影遮罩利用第一微影曝光來形成第一組孔的方法,其中所述第一組孔中的至少兩個孔是由沿第一方向的最終孔間隔表徵,所述最終孔間隔小於利用第一微影曝光而在第一層中被圖案化的特徵的臨界間隔。如上所述,可通過利用例如以上參照圖8A至圖8D所詳述的一維反應性離子蝕刻製程蝕刻所述孔而將此最終孔間隔減小至低於臨界間隔。另外,在 另一些實施例中,一種方法可涉及除第一微影遮罩以外還採用第二微影遮罩,以利用第二微影曝光在所述第一層中界定第二組孔,其中所述第二組孔之間散佈有所述第一組孔。所述第二組孔可由第二最終孔間隔表徵,所述第二最終孔間隔小於利用第二微影曝光而在第一層中被圖案化的特徵的臨界間隔。
在其他實施例中,可對在另一層上方延伸的例如線條、臺面(mesa)或柱等特徵陣列應用一維反應性離子蝕刻。在某些實例中,可沿一個方向或沿一對方向應用一維反應性離子蝕刻,在沿一對方向應用所述一維反應性離子蝕刻時,離子是在平行於軸(例如上述實施例的Y軸)的同時沿著兩個相對的方向引導。在具體實施例中,可應用一維反應性離子蝕刻來更改線條的長度,例如線條陣列中的線條的長度。此可有利於調整陣列中相鄰線條之間的尖端-尖端間距。圖9A繪示線條陣列在加工之前的俯視平面圖。在此實例中,線條904的陣列906排列於基板902上。陣列906可如對於圖2A的柱202所示般在基板902的層上方沿Z軸延伸。陣列906是其中線條904具有沿X軸的鄰近線條及沿Y軸的鄰近線條的二維陣列。線條904具有沿Y軸的相對較大的尺寸且可被視為沿Y軸延伸。線條904可由被示出為間距S5的尖端-尖端間距表徵。陣列906可通過如上所述的微影製程而形成。間距S5可不同於被標示為S6的目標尖端-尖端間距。為調整所述尖端-尖端間距,可如上所述在根據本發明實施例的一維反應性離子蝕刻中引導離子。在一個實例中,可沿著兩個關於Y軸相對的軌 跡來引導離子,其中所述離子的軌跡平行於Y軸。所述離子軌跡可相對於垂線120(參見圖2A)形成非零入射角,以使所述離子撞擊所述線條的端。
圖9B繪示圖9A所示線條陣列在根據一個實施例的一維反應性離子蝕刻之後的俯視平面圖。在此實例中,離子908如由指向右方的箭頭所示沿著平行於Y軸的第一組軌跡引導,而離子910則如由指向左方的箭頭所示沿著平行於Y軸且與所述第一組軌跡相對的第二組軌跡引導。如圖所示,線條904的尖端-尖端間距已增大至代表目標尖端-尖端間距的間距S6。由於離子910及離子908是沿著相對的軌跡而引導至線條904,因此線條904的相對的尖端可被等同地蝕刻。如圖9A中所示,左列的線條904在初始時在位置P0處具有右尖端,而右列的線條904在初始時在位置P1處具有左尖端。在根據圖9B所示情景進行蝕刻之後,左列的線條904在相對於P0沿Y軸向左移動的位置P2處具有右尖端,而右列的線條904在相對於P1沿Y軸向右移動的位置P3處具有左尖端。以此種方式,生成線條904的陣列916,其中相鄰線條之間沿Y軸的尖端-尖端間距是相對於陣列906以對稱方式加以調整。
圖9C繪示圖9A所示線條陣列在根據另一實施例的一維反應性離子蝕刻之後的俯視平面圖。在此實例中,僅離子910沿著平行於Y軸的第二組軌跡引導。如圖所示,線條904的尖端-尖端間距也已增大至代表目標尖端-尖端間距的間距S6。由於僅離 子910被引導至線條904的右尖端,因此線條904的僅右尖端可得到蝕刻,而線條904的左尖端保持不被蝕刻。在根據圖9C所示情景進行蝕刻之後,左列的線條904在相對於P0沿Y軸向左移動的位置P4處具有右尖端,而右列的線條904在相對於右列的線條904的左尖端的原始位置保持未移動的位置P1處具有左尖端。以此種方式,生成線條904的陣列926,其中相鄰線條之間沿Y軸的尖端-尖端間距是相對於陣列906以對稱的方式加以調整。圖9C所示的後一種情景可適用於其中欲在調整各特徵之間間距的同時移動整個特徵群組的平均位置的情況。
圖10繪示示例性製造流程1000。在步驟1002中,在基板上提供表面特徵,其中所述表面特徵具有沿第一方向的第一尺寸及沿垂直於第一方向的第二方向的第二尺寸。所述表面特徵可為例如線條、柱、臺面、孔或其他特徵。在步驟1004中,相對於基板平面的垂線以非零入射角沿第一方向將第一曝光中的第一離子引導至所述表面特徵。所述第一離子的引導是在存在含有反應性物質的反應性氣氛的條件下進行,其中在所述引導之後,表面特徵保持沿第二方向的第二尺寸,且其中表面特徵具有沿第一方向的不同於第一尺寸的第三尺寸。
就在基板中界定特徵而言,本發明實施例相比傳統加工提供各種優點。一個優點在於對表面特徵實行一維修剪(one dimensional trimming)的能力,其中表面特徵的第二尺寸垂直於所述第一尺寸。舉例而言,可減小線條的寬度、而不減小所述線 條的長度。另一優點是將相鄰特徵之間的間距減小至低於通過已知微影製程而實現的間距的能力。此種能力的實例是減小相鄰溝槽(例如,接觸溝槽)之間的尖端-尖端間隔。又一優點是減小用於產生特徵圖案的遮罩的數目的能力,其中所述特徵可分隔開比由單一遮罩可實現的臨界間隔小的距離。如此減小遮罩的數目對減小在印刷特徵圖案時的覆蓋誤差具有更有利的效果。
本發明的範圍將不受本文中闡述的具體實施例限制。事實上,通過上述說明及附圖,除本文中所述的這些實施例以外的本發明其他各種實施例及對本發明的修改也將對所屬領域的一般技術人員顯而易見。因此,此類其他實施例及修改旨在落於本發明的範圍內。此外,本文中已在特定環境中在特定實施方式的上下文中出於特定目的闡述了本發明,但所屬領域的一般技術人員將認識到,本發明的適用性並非僅限於此,且本發明可在任意數目的環境中出於任意數目的目的而被有利地實施。因此,上文所述的權利要求書將考慮到本文中所述的本發明的整個廣度及範圍進行解釋。
100‧‧‧裝置結構
102‧‧‧第一層
104‧‧‧第二層
106‧‧‧第三層
108‧‧‧第四層
110‧‧‧孔
112‧‧‧離子
114‧‧‧側壁
118‧‧‧基板平面
120‧‧‧垂線
122‧‧‧反應性物質
124‧‧‧頂面
126‧‧‧表面
130‧‧‧提取板
132‧‧‧提取開孔
134‧‧‧電漿
150‧‧‧基板
θ‧‧‧角

Claims (10)

  1. 一種將基板圖案化的方法,包括:在層中提供表面特徵,其中所述表面特徵包括第一側壁,所述層設置在所述基板上,其中所述層包括第一層及第二層,所述表面特徵包括安置於所述基板上的所述層內的孔,所述孔具有沿基板平面內的第一方向的第一尺寸及沿所述基板平面內的第二方向的第二尺寸,其中所述第二方向垂直於所述第一方向,且其中所述第一尺寸大於所述第二尺寸;以及在存在含有反應性物質的反應性氣氛的條件下,相對於所述基板平面的垂線以非零入射角沿所述第一方向將第一曝光中的第一離子引導至所述表面特徵的整個所述第一側壁,其中所述第一曝光被配置為相對於所述第二層選擇性地蝕刻所述第一層;其中所述第一曝光沿所述第一方向蝕刻所述表面特徵,其中在所述引導之後,所述表面特徵保持沿所述第二方向的所述第二尺寸,其中沿所述第二方向的所述第二尺寸沒有減小,其中所述表面特徵具有沿所述第一方向的大於所述第一尺寸的第三尺寸,且所述第一曝光利用所述第一離子及所述反應性氣氛將所述第一尺寸增大至所述第三尺寸、而不增大所述第二尺寸,且其中所述第一曝光不蝕刻所述第二層。
  2. 如申請專利範圍第1項所述的將基板圖案化的方法,其中所述表面特徵安置於包含第一材料的所述第一層中,其中所述表面特徵安置於包含第二材料的所述第二層上,其中所述表面特 徵以第一蝕刻速率沿所述第一方向蝕刻,且其中所述第二層以第二蝕刻速率蝕刻,其中所述第二蝕刻速率小於所述第一蝕刻速率。
  3. 如申請專利範圍第1項所述的將基板圖案化的方法,其中所述第一離子形成帶狀束,所述帶狀束具有平行於所述第二方向的長軸及平行於所述第一方向的短軸。
  4. 如申請專利範圍第1項所述的將基板圖案化的方法,其中所述表面特徵安置於所述第一層中,所述將基板圖案化的方法還包括:在所述引導之前提供至少一個額外層,所述至少一個額外層相鄰於所述第一層且安置於所述表面特徵與所述基板之間;且在所述第一曝光之後,通過在由所述表面特徵界定的區中蝕刻所述至少一個額外層而在所述至少一個額外層中形成下部特徵。
  5. 如申請專利範圍第4項所述的將基板圖案化的方法,其中蝕刻所述至少一個額外層是在沿著所述基板平面的所述垂線的垂直方向上進行。
  6. 一種將基板圖案化的方法,包括:在所述基板上提供具有至少一個孔的層,其中所述至少一個孔包括第一側壁,其中所述層包括第一層及第二層;以及在存在含有反應性物質的反應性氣氛的條件下,相對於基板平面的垂線以非零入射角沿第一方向將第一曝光中的第一離子引導至所述層的所述至少一個孔的整個所述第一側壁; 其中所述第一曝光利用所述第一離子及所述反應性氣氛增大所述至少一個孔沿所述第一方向的第一孔尺寸,而不增大所述至少一個孔沿與所述第一方向垂直的第二方向的第二孔尺寸,且其中所述第一孔尺寸大於所述第二孔尺寸,其中所述第一曝光被配置為相對於所述第二層選擇性地蝕刻所述第一層,且其中所述第一曝光不蝕刻所述第二層,其中所述至少一個孔包括孔陣列,所述孔陣列在所述引導之前由相鄰孔之間沿所述第一方向的第一間隔表徵,且其中所述孔陣列在所述引導之後由沿所述第一方向的第二間隔表徵,所述第二間隔小於所述第一間隔。
  7. 如申請專利範圍第6項所述的將基板圖案化的方法,還包括:在所述引導之前提供至少一個額外層,所述至少一個額外層相鄰於所述層且安置於所述基板與所述層之間;以及在所述第一曝光之後,通過在由所述至少一個孔界定的區中蝕刻所述至少一個額外層而在所述至少一個額外層中形成下部孔,其中所述蝕刻是沿所述基板平面的所述垂線進行。
  8. 如申請專利範圍第6項所述的將基板圖案化的方法,其中所述層包括氧化矽、氮化矽、矽、碳、光阻劑、TiN或抗反射塗層。
  9. 一種將基板圖案化的方法,包括:確定在用於微影製程的相鄰遮罩特征之間的臨界間距;在所述基板上提供層,其中所述層包括第一層及第二層; 利用第一微影遮罩形成第一組孔,所述第一微影遮罩的相鄰特徵之間所具有的間距不小於所述臨界間距,其中所述第一組孔的每一者包括第一側壁;在存在含有反應性物質的反應性氣氛的條件下,相對於基板平面的垂線以非零入射角沿第一方向將第一曝光中的第一離子引導至所述第一層中的所述第一組孔的整個所述第一側壁,所述第一曝光沿所述第一方向增大所述第一組孔的第一孔尺寸,而不增大沿與所述第一方向垂直的第二方向的所述第一組孔的第二孔尺寸,且其中所述第一孔尺寸大於所述第二孔尺寸,其中在所述第一曝光之後所述第一組孔的至少兩個孔由沿所述第一方向的第一最終孔間隔表徵,所述第一最終孔間隔小於所述臨界間距,其中所述第一曝光被配置為相對於所述第二層選擇性地蝕刻所述第一層,且其中所述第一曝光不蝕刻所述第二層。
  10. 如申請專利範圍第9項所述的將基板圖案化的方法,還包括採用第二微影遮罩、利用第二曝光而在所述層中形成第二組孔,所述第二微影遮罩的相鄰特徵之間所具有的間距不小於所述臨界間距,其中所述第二組孔有小於所述臨界間距的第二最終孔間隔表徵,且其中所述第二組孔散佈於所述第一組孔之間。
TW105118896A 2015-06-25 2016-06-16 將基板圖案化的方法 TWI756176B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/749,822 US10008384B2 (en) 2015-06-25 2015-06-25 Techniques to engineer nanoscale patterned features using ions
US14/749,822 2015-06-25

Publications (2)

Publication Number Publication Date
TW201701323A TW201701323A (zh) 2017-01-01
TWI756176B true TWI756176B (zh) 2022-03-01

Family

ID=57586219

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105118896A TWI756176B (zh) 2015-06-25 2016-06-16 將基板圖案化的方法

Country Status (6)

Country Link
US (4) US10008384B2 (zh)
JP (1) JP6807879B2 (zh)
KR (2) KR102574460B1 (zh)
CN (2) CN114975106A (zh)
TW (1) TWI756176B (zh)
WO (1) WO2016209580A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10008384B2 (en) * 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
CN107731737B (zh) * 2016-08-12 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10229832B2 (en) * 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10658184B2 (en) * 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
US10347579B2 (en) 2017-01-19 2019-07-09 Qualcomm Incorporated Reducing tip-to-tip distance between end portions of metal lines formed in an interconnect layer of an integrated circuit (IC)
US10312089B1 (en) * 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US11127593B2 (en) 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10903082B2 (en) 2018-09-21 2021-01-26 Varian Semiconductor Equipment Associates, Inc. Spacer sculpting for forming semiconductor devices
DE102019120765B4 (de) 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US10867840B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US11640909B2 (en) * 2018-12-14 2023-05-02 Applied Materials, Inc. Techniques and apparatus for unidirectional hole elongation using angled ion beams
US10840132B1 (en) * 2019-07-09 2020-11-17 Applied Materials, Inc. Methods for forming elongated contact hole ends
US11043394B1 (en) * 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04128394A (ja) * 1990-09-17 1992-04-28 Matsushita Electric Ind Co Ltd 微細構造物の製造方法
JPH0737869A (ja) * 1993-07-20 1995-02-07 Nippon Steel Corp 半導体装置の製造方法
WO2000058953A2 (en) * 1999-03-30 2000-10-05 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6414366B1 (en) * 1998-07-29 2002-07-02 Tdk Corporation Thin-film magnetic head wafer and manufacturing method of thin-film magnetic head
JP2004152960A (ja) * 2002-10-30 2004-05-27 Toppan Printing Co Ltd ドライエッチング装置及びエッチング方法
TW200938948A (en) * 2007-11-27 2009-09-16 Varian Semiconductor Equipment Using ion implantation to control trench depth and alter optical properties of a substrate
US20100173498A1 (en) * 2006-03-09 2010-07-08 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US8339752B1 (en) * 2011-09-26 2012-12-25 Hitachi Global Storage Technologies Netherlands B.V. Magnetic head with wide sensor back edge, low resistance, and high signal to-noise ratio and methods of production thereof
US20140256138A1 (en) * 2013-03-05 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue after dry etch
US20150083581A1 (en) * 2013-09-26 2015-03-26 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484979A (en) 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer
US4680085A (en) 1986-04-14 1987-07-14 Ovonic Imaging Systems, Inc. Method of forming thin film semiconductor devices
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
JPH0821576B2 (ja) * 1988-03-11 1996-03-04 日本電気株式会社 半導体基板の傾斜端面形成法
JPH03235331A (ja) * 1990-02-13 1991-10-21 Nec Corp グレーティングパターン形成方法
JPH05326454A (ja) * 1992-05-25 1993-12-10 Nec Kansai Ltd ドライエッチング装置およびエッチング方法
JP2757838B2 (ja) 1995-10-25 1998-05-25 日本電気株式会社 半導体装置の製造方法
US20010025826A1 (en) 2000-02-28 2001-10-04 Pierson Thomas E. Dense-plasma etching of InP-based materials using chlorine and nitrogen
US6787052B1 (en) 2000-06-19 2004-09-07 Vladimir Vaganov Method for fabricating microstructures with deep anisotropic etching of thick silicon wafers
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
JP3895281B2 (ja) * 2003-02-18 2007-03-22 Tdk株式会社 パターン形成方法、これを用いた磁気抵抗効果素子及び磁気ヘッドの製造方法、並びに、ヘッドサスペンションアセンブリ及び磁気ディスク装置
JP4349104B2 (ja) * 2003-11-27 2009-10-21 株式会社島津製作所 ブレーズド・ホログラフィック・グレーティング、その製造方法、及びレプリカグレーティング
JP4054325B2 (ja) 2004-08-11 2008-02-27 日本電信電話株式会社 半導体素子の作製方法及び半導体素子
US20080002749A1 (en) * 2004-09-29 2008-01-03 California Institute Of Technology Material processing method for semiconductor lasers
KR100708530B1 (ko) 2004-12-31 2007-04-16 동부일렉트로닉스 주식회사 얕은 트랜치 소자 분리막 공정 중 디봇 형상 방지방법
JP4867171B2 (ja) 2005-01-21 2012-02-01 富士電機株式会社 半導体装置の製造方法
US7696102B2 (en) 2005-03-31 2010-04-13 Gang Zhang Methods for fabrication of three-dimensional structures
US7842618B2 (en) 2005-08-01 2010-11-30 Spansion Llc System and method for improving mesa width in a semiconductor device
US20070051622A1 (en) 2005-09-02 2007-03-08 Applied Materials, Inc. Simultaneous ion milling and sputter deposition
US7790621B2 (en) 2006-02-23 2010-09-07 Sophia Wen Ion implantation for increasing etch rate differential between adjacent materials
US7446374B2 (en) 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
WO2008011043A2 (en) * 2006-07-18 2008-01-24 Binoptics Corporation Algainn-based lasers with dovetailed ridge
KR100780606B1 (ko) * 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7892928B2 (en) 2007-03-23 2011-02-22 International Business Machines Corporation Method of forming asymmetric spacers and methods of fabricating semiconductor device using asymmetric spacers
US8652763B2 (en) * 2007-07-16 2014-02-18 The Board Of Trustees Of The University Of Illinois Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
US20090084757A1 (en) * 2007-09-28 2009-04-02 Yuri Erokhin Uniformity control for ion beam assisted etching
US7767977B1 (en) 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
US8101510B2 (en) 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US8192641B2 (en) 2009-07-23 2012-06-05 GlobalFoundries, Inc. Methods for fabricating non-planar electronic devices having sidewall spacers formed adjacent selected surfaces
US8089050B2 (en) 2009-11-19 2012-01-03 Twin Creeks Technologies, Inc. Method and apparatus for modifying a ribbon-shaped ion beam
US8421139B2 (en) 2010-04-07 2013-04-16 International Business Machines Corporation Structure and method to integrate embedded DRAM with finfet
US8288741B1 (en) 2011-08-16 2012-10-16 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for three dimensional ion processing
US9653309B2 (en) 2012-05-25 2017-05-16 The Regents Of The University Of California Method for fabrication of high aspect ratio trenches and formation of nanoscale features therefrom
US8637365B2 (en) 2012-06-06 2014-01-28 International Business Machines Corporation Spacer isolation in deep trench
US9118001B2 (en) 2012-07-11 2015-08-25 Varian Semiconductor Equipment Associates, Inc. Techniques for treating sidewalls of patterned structures using angled ion treatment
US9190498B2 (en) 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation
US9287178B2 (en) 2012-10-01 2016-03-15 Globalfoundries Inc. Multi-gate field effect transistor (FET) including isolated fin body
CN105008891B (zh) 2013-01-11 2018-02-06 Fei公司 用于变更蚀刻速率的离子注入
US9142386B2 (en) 2013-03-15 2015-09-22 Nissin Ion Equipment Co., Ltd. Ion beam line
US20140357080A1 (en) 2013-06-04 2014-12-04 Tokyo Electron Limited Method for preferential shrink and bias control in contact shrink etch
KR101509529B1 (ko) 2013-07-31 2015-04-07 아주대학교산학협력단 3차원 형태의 구리 나노구조물 및 그 형성 방법
US9287123B2 (en) * 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US9589811B2 (en) * 2015-06-24 2017-03-07 Varian Semiconductor Equipment Associates, Inc. FinFET spacer etch with no fin recess and no gate-spacer pull-down
US10008384B2 (en) * 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US9984889B2 (en) 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US11127593B2 (en) * 2018-05-18 2021-09-21 Varian Semiconductor Equipment Associates, Inc. Techniques and apparatus for elongation patterning using angled ion beams
US11043394B1 (en) * 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04128394A (ja) * 1990-09-17 1992-04-28 Matsushita Electric Ind Co Ltd 微細構造物の製造方法
JPH0737869A (ja) * 1993-07-20 1995-02-07 Nippon Steel Corp 半導体装置の製造方法
US6414366B1 (en) * 1998-07-29 2002-07-02 Tdk Corporation Thin-film magnetic head wafer and manufacturing method of thin-film magnetic head
WO2000058953A2 (en) * 1999-03-30 2000-10-05 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
JP2004152960A (ja) * 2002-10-30 2004-05-27 Toppan Printing Co Ltd ドライエッチング装置及びエッチング方法
US20100173498A1 (en) * 2006-03-09 2010-07-08 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
TW200938948A (en) * 2007-11-27 2009-09-16 Varian Semiconductor Equipment Using ion implantation to control trench depth and alter optical properties of a substrate
US8339752B1 (en) * 2011-09-26 2012-12-25 Hitachi Global Storage Technologies Netherlands B.V. Magnetic head with wide sensor back edge, low resistance, and high signal to-noise ratio and methods of production thereof
US20140256138A1 (en) * 2013-03-05 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue after dry etch
US20150083581A1 (en) * 2013-09-26 2015-03-26 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching

Also Published As

Publication number Publication date
US10008384B2 (en) 2018-06-26
US20160379816A1 (en) 2016-12-29
WO2016209580A1 (en) 2016-12-29
KR20180012872A (ko) 2018-02-06
JP2018521509A (ja) 2018-08-02
CN114975106A (zh) 2022-08-30
US11908691B2 (en) 2024-02-20
US20180330944A1 (en) 2018-11-15
US20230020164A1 (en) 2023-01-19
JP6807879B2 (ja) 2021-01-06
US20210166936A1 (en) 2021-06-03
US11488823B2 (en) 2022-11-01
KR20230129577A (ko) 2023-09-08
CN107924818B (zh) 2022-06-03
US11043380B2 (en) 2021-06-22
KR102574460B1 (ko) 2023-09-04
CN107924818A (zh) 2018-04-17
TW201701323A (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
TWI756176B (zh) 將基板圖案化的方法
JP2018521509A5 (ja) 基板をパターン化する方法
TWI825380B (zh) 對襯底進行加工的方法
US20210375626A1 (en) Techniques and apparatus for elongation patterning using angled ion beams
TWI725230B (zh) 將基底及設置在其上的層圖案化以及形成器件結構的方法
KR102669442B1 (ko) 기판을 패턴화하는 방법 및 장치
KR20210061445A (ko) 깊이 변조된 각진 격자들을 갖는 광학적 컴포넌트 및 형성 방법
US10629437B2 (en) Techniques and structure for forming dynamic random-access device using angled ions
US10903082B2 (en) Spacer sculpting for forming semiconductor devices