JP2018139304A - デバイス処理監視方法及び装置 - Google Patents

デバイス処理監視方法及び装置 Download PDF

Info

Publication number
JP2018139304A
JP2018139304A JP2018080437A JP2018080437A JP2018139304A JP 2018139304 A JP2018139304 A JP 2018139304A JP 2018080437 A JP2018080437 A JP 2018080437A JP 2018080437 A JP2018080437 A JP 2018080437A JP 2018139304 A JP2018139304 A JP 2018139304A
Authority
JP
Japan
Prior art keywords
defects
devices
inspection
design
defect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018080437A
Other languages
English (en)
Other versions
JP6498337B2 (ja
Inventor
アレン パーク
Allen Park
アレン パーク
ヨスン ジン
Youseung Jin
ヨスン ジン
スンチャン チョ
Sungchan Cho
スンチャン チョ
バリー サヴィル
Saville Barry
バリー サヴィル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of JP2018139304A publication Critical patent/JP2018139304A/ja
Application granted granted Critical
Publication of JP6498337B2 publication Critical patent/JP6498337B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8883Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges involving the calculation of gauges, generating models
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32182If state of tool, product deviates from standard, adjust system, feedback
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

【課題】デバイスの設計ベース評価のための方法を提供する。
【解決手段】クリティカル欠陥を利用して動的サンプリングを提供するための方法であって、ウェハ上の複数のクリティカルパターンタイプを特定すること(702)、前記特定されたクリティカルパターンタイプのそれぞれについて、計算されたリスクレベルおよび発生の頻度を利用してデバイスリスクレベルを確定すること(704)、デバイスの1つまたは複数の関連エクスカーションを特定すること(706)、1つまたは複数のデバイスエクスカーションの特定に応答して動的ウェハ選択を確定すること(708)、および、前記特定されたクリティカルパターンタイプの少なくとも一部を動的にサンプリングすること(710)を含む。
【選択図】図7

Description

[関連出願の相互参照]
本出願は、以下に挙げる出願(複数可)(「関連出願」)に関連し、これらの関連出願から利用可能でかつ有効な最先の出願日の利益を主張する(たとえば、仮特許出願以外の出願についての利用可能な最先の優先日を主張するか、または、関連出願(複数可)の親出願、祖父出願、曾祖父出願等のありとあらゆる出願に関する仮特許出願についての、米国特許法(USC35)119条(e)下における利益を主張する)。
[関連出願]
米国特許商標庁の法定外要件のため、本出願は、2011年2月22日に出願された、発明者としてAllen Park、Youseung Jin、Barry Saville、およびSungchan Choの名を挙げる「DESIGN−AWARE DEVICE ASSESSMENT AND COMPUTER−AIDED SEPARATION OF PARTICLE AND PATTERN DEFECT」という名称の米国仮特許出願、出願シリアル番号第61/445,164号の通常の(非仮の)特許出願を構成する。
米国特許商標庁の法定外要件のため、本出願は、2012年2月17日に出願された、発明者としてAllen Park、Youseung Jin、Sungchan Cho、およびBarry Savilleの名を挙げる「DESIGN BASED DEVICE RISK ASSESSMENT」という名称の米国仮特許出願、出願シリアル番号第13/339,805号の通常の(非仮の)特許出願を構成する。
本出願は、一般に、半導体デバイス不良に関連する欠陥のリスクを確定するための方法およびシステムに関し、より詳細には、設計データを利用して半導体デバイス不良に関連する欠陥のリスクを確定することに関する。
論理デバイスまたはメモリデバイスなどの半導体デバイスを作製することは、通常、半導体ウェハなどの基板を多数の半導体作製プロセスを使用して処理することであって、それにより、半導体デバイスの種々のフィーチャおよび複数のレベルを形成する、処理することを含む。たとえば、リソグラフィは、レチクルから、半導体ウェハ上の配置されたレジストにパターンを転写することを含む半導体作製プロセスである。さらに、半導体作製プロセスのさらなる例は、化学機械研磨(CMP)、エッチング、堆積、イオン注入を含むが、それに限定されない。複数の半導体デバイスを、単一半導体ウェハ上に配置して作製し、その後、個々の半導体デバイスに分離することができる。
検査プロセスは、半導体製造プロセス中に種々のステップで使用されて、レチクルおよびウェハなどの試料上の欠陥が検出される。検査プロセスは、集積回路などの半導体デバイスを作製するという重要な部分であった。しかし、半導体デバイスの寸法が減少するにつれて、検査プロセスは、許容可能な半導体デバイスの成功裏の製造にとってさらにより重要となっている。たとえば、半導体デバイスの寸法が減少するにつれて、比較的小さな欠陥でさえ半導体デバイスの望ましくない収差をもたらす場合があるため、小さなサイズの欠陥の検出が必要となっている。
したがって、検査分野における多くの作業が、以前は無視できるほどであったサイズを有する欠陥を検出しうる検査システムを設計することに捧げられた。通常の検査プロセスは、ウェハ上の同様の半導体デバイスのエリアを比較することによって欠陥を検出する。2つのデバイスエリア間で検出される差は、デバイスを不適切に機能させうる欠陥、または、システム動作に影響を及ぼさないであろう有害物でありうる。半導体ウェハ検査の統合フェーズは、欠陥と有害物とを正確に区別しうるように、検査デバイスの、一般に「レシピ(recipe)」と呼ばれる設定を最適化することを含む。潜在的な欠陥が検査システムによって見出された後、ウェハは、通常、欠陥を分類するために精査ツールに移送される。しかし、欠陥の分類は、「レシピ」とも呼ばれる、精査ツールの設定を最適化することであって、それにより、精査ツールが、潜在的な欠陥を適切に分類し、潜在的な欠陥が有害物または偽りの欠陥であると判定しうる、最適化することを必要とする。要するに、特定のウェハロットに関する欠陥の解析は、検査ツールについてレシピをセットアップし最適化すること、および、精査ツールについて異なるレシピをセットアップすることを必要とする。2つの異なるツールについて2つのレシピをセットアップすることは時間がかかりかつ複雑である。
多くの異なるタイプの欠陥についての検査もまた、最近、より重要になっている。たとえば、半導体作製プロセスを監視し補正するため検査結果を使用するために、ウェハ上にどんなタイプの欠陥が存在するかを知ることが必要であることが多い。さらに、半導体製造に関わる全てのプロセスをコントロールすることが、考えられる最も高い収率を達成するために望ましいため、多くの異なる半導体プロセスから生じる可能性がある異なるタイプの欠陥を検出する能力を有することが望ましい。検出される異なるタイプの欠陥は、その特性が劇的に変動する場合がある。たとえば、半導体製造プロセス中に検出するのが望ましい場合がある欠陥は、厚さ変動、微粒子欠陥、スクラッチ、抜けているパターンフィーチャまたは不正にサイズ決定されたパターンフィーチャなどのパターン欠陥、および、こうした異種の特性を有する多くの他の欠陥を含むことができる。
欠陥精査は、通常、高倍率光学システムまたは走査型電子顕微鏡(SEM)を使用して、欠陥に関するさらなる情報を高解像度で生成することを含む。欠陥精査により生成される欠陥についての高解像度データは、プロファイル、粗さ、より正確なサイズ情報などのような欠陥の属性を確定するのにより適している。電子分散X線分光(EDS)システムなどのシステムを使用して欠陥解析を実施することができる。こうした欠陥解析を実施して、欠陥の組成などの情報を確定することができる。検査、精査、解析、またはその何らかの組合せにより確定される欠陥の属性を使用して、欠陥のタイプ(すなわち、欠陥分類)、および、おそらくは欠陥の根本原因を特定しうる。次に、この情報を使用して、1つまたは複数の半導体作製プロセスの1つまたは複数のパラメータを監視し変更し、それにより、欠陥を低減または排除しうる。
米国特許出願公開第2008/0250361号
しかし、設計基準寸法が縮小するにつれて、半導体製造プロセスは、そのプロセスのパフォーマンス能力の限界の近くで働いている可能性がある。さらに、より小さい欠陥は、設計基準寸法が縮小するにつれて、デバイスの電気パラメータに影響を及ぼす可能性があり、それがより感度の高い検査を必要とする。したがって、設計基準寸法が縮小するにつれて、検査によって検出される、おそらくは収率に関連する欠陥および有害物欠陥の数が劇的に増大する。したがって、ますます多くの欠陥がウェハ上で検出され、全ての欠陥を排除するようにプロセスを補正することが難しくかつ高価になる場合がある。したがって、欠陥のうちのどの欠陥が実際にデバイスのパフォーマンスおよび収率に影響を及ぼすかを判定することは、プロセスコントロール方法が、他の欠陥をほとんど無視しながら、こうした欠陥に的を絞ることを可能にすることができる。さらに、より小さい設計基準寸法において、プロセス誘起による不良は、場合によっては、系統的である傾向になる場合がある。すなわち、プロセス誘起による不良は、設計内で多数回繰り返されることが多い所定の設計パターンで生じる傾向にある。空間的に系統的な電気関連の欠陥の排除は、こうした欠陥の排除が収率に対して著しく全体的な影響を及ぼしうるため重要である。欠陥がデバイスパラメータおよび収率に影響を及ぼすことになるか否かを、上述した検査プロセス、精査プロセス、および解析プロセスから判定できないことが多い。その理由は、これらのプロセスが、電気設計に関して欠陥の位置を確定することができない場合があるからである。
デバイスの設計ベース評価のための方法が開示される。
一態様では、方法は、限定はしないが、デバイスの設計データを利用して複数の関心のパターンを定義すること、関心のパターンのそれぞれに関連付けられる設計データを含む設計ベース分類データベースを生成すること、1つまたは複数の検査結果を受取ること、1つまたは複数の検査結果を複数の関心のパターンのそれぞれと比較することであって、それにより、検査結果内での関心のパターンの少なくとも1つの発生を特定する、比較すること、プロセス収率データを利用してそれぞれの関心のパターンの収率影響を確定すること、POIのそれぞれの発生の頻度およびPOIのクリティカリティを監視することであって、それにより、デバイスのプロセスエクスカーションを特定する、監視すること、および、クリティカルポリゴンのそれぞれについての発生の頻度およびクリティカルポリゴンのそれぞれについてのクリティカリティを利用してデバイスについて正規化ポリゴン頻度を計算することによってデバイスリスクレベルを確定することを含むことができ、クリティカルポリゴンは、デバイスの設計データを利用して定義される。
クリティカル欠陥を利用して動的サンプリングを提供するための方法が開示される。一態様では、方法は、限定はしないが、ウェハ上の複数のクリティカルパターンタイプを特定すること、特定されたクリティカルパターンタイプのそれぞれについて、計算されたリスクレベルおよび発生の頻度を利用してデバイスリスクレベルを確定すること、デバイスの1つまたは複数の関連エクスカーションを特定すること、1つまたは複数のデバイスエクスカーションの特定に応答して動的ウェハ選択を確定すること、および、特定されたクリティカルパターンタイプの少なくとも一部を動的にサンプリングすることを含むことができる。
メモリデバイス内でリスク評価または収率相関を提供するための方法が開示される。一態様では、方法は、限定はしないが、設計データを利用してデバイスの1つまたは複数の機能エリアに基づいて複数の領域を定義すること、1つまたは複数の定義された領域に関して1つまたは複数の検査プロセスを実施すること、1つまたは複数の検査プロセスからの検査データを利用して、所定のコントロール限界を下回る1つまたは複数の定義された領域の1つまたは複数のダイを特定すること、および、収率損失に影響を及ぼす領域を、所定のコントロール限界を下回る1つまたは複数のダイを、領域のそれぞれについてのインラインデータと比較することによって特定することを含むことができる。
空間解析を使用してデバイス処理を監視するための方法が開示される。一態様では、方法は、限定はしないが、1つまたは複数のプロセスステップの間で1つまたは複数のデバイスを検査することによってプロセス変動シグネチャを監視すること、1つまたは複数のデバイスの1つまたは複数の関心のパターンを、設計ベース分類プロセスを使用して、監視されるプロセス変動に関連付けること、および、1つまたは複数の関連付けされた関心のパターンを使用して、1つまたは複数の機器シグネチャを特定することを含むことができる。
先の一般的な説明および以下の詳細な説明は、単に例示的かつ説明的であり、特許請求される本発明を必ずしも制限しないことが理解される。本明細書の一部に組込まれまたその一部を構成する添付図面は、本発明の実施形態を示し、一般的な説明と共に、本発明の原理を説明するのに役立つ。
本開示のいくつかの利点を、添付図を参照して当業者がよりよく理解することができる。
本発明の一実施形態による、半導体ウェハ検査に適したシステムのブロック図である。 本発明の一実施形態による、複数のダイ領域およびダイ領域内の複数の欠陥を有する半導体ウェハの平面図である。 本発明の一実施形態による、設計ベースデバイス評価のための方法を示すフロー図である。 デバイスの1つまたは複数のエクスカーションを特定するために利用されるパレトチャートである。 本発明の一実施形態による、デバイスの1つまたは複数のエクスカーションを特定するために利用される、統計的プロセスコントロールルーチンによって生成されるトレンドラインである。 本発明の一実施形態による、デバイス設計データの複数のクリティカルポリゴンを示す図である。 本発明の一実施形態による、推奨閾値が重ねられた、種々のデバイスについての正規化されたポリゴン頻度を示す図である。 本発明の一実施形態による、動的サンプリングのための方法を示すフロー図である。 本発明の一実施形態による、メモリデバイス内で収率相関を確定するための方法を示すフロー図である。 本発明の一実施形態による、空間解析を使用してデバイス処理を監視するための方法を示すフロー図である。
ここで、添付図面に示す、開示される主題が、詳細に参照される。
図1A〜図9を全体的に参照して、設計ベースデバイス評価のための方法およびシステムが、本開示に従って述べられる。本発明は、デバイス(たとえば、半導体デバイス)内の系統的欠陥の予測的監視ならびに欠陥のリスクレベル評価を対象とする。本明細書でさらに詳細に述べるように、デバイスのリスクレベル評価は、所与のデバイスの設計データ内で定義されるクリティカルポリゴンの解析を含む新規なポリゴン頻度(NPF)を利用して達成される。さらに、ランダム欠陥性によるパターングループ化の利用は、ランダム粒子発生から系統的欠陥発生を分離するのを補助することができる。本開示は、設計レイアウトパターン探索能力、正確な検査エリア定義、およびデバイスの設計データ内に存在する関連構造の印刷頻度の理解によって設計アウェアな欠陥検査を実現する。本開示は、i)デバイスの設計ベースリスク評価を提供すること、ii)クリティカル欠陥情報を使用して動的サンプリングを提供すること、iii)メモリデバイス内でメモリデバイスリスク評価および/または収率相関を確定すること、および、iv)空間解析を使用してデバイス処理を監視することを対象とする。
本開示全体を通して使用されるように、用語「ウェハ」は、一般に半導体材料または非半導体材料から形成される基板を指す。たとえば、半導体材料または非半導体材料は、単結晶シリコン、ヒ化ガリウム、およびリン化インジウムを含むが、それに限定されない。ウェハは1つまたは複数の層を含むことができる。たとえば、こうした層は、レジスト、誘電体材料、導電性材料、および半導体材料を含むことができるが、それに限定されない。多くの異なるタイプのこうした層が、当技術分野で知られており、本明細書で使用される用語、ウェハは、全てのタイプのこうした層をその上に形成することができるウェハを包含することを意図される。
ウェハ上に形成される1つまたは複数の層を、パターン化することができるまたは非パターン化することができる。たとえば、ウェハは複数のダイを含むことができ、それぞれのダイは繰り返し可能なパターン化フィーチャを有する。こうした材料の層の形成および処理は、最終的に完成されたデバイスをもたらしうる。多くの異なるタイプのデバイスをウェハ上に形成することができ、本明細書で使用される用語、ウェハは、当技術分野で知られている任意のデバイスがその上で作製されるウェハを包含することを意図される。
実施形態が、本明細書でウェハに関して述べられるが、実施形態は、一般にマスクまたはフォトマスクとも呼ぶことができるレチクルなどの、半導体ターゲットのオーバレイエラーについての欠陥検出の改善のために使用することができることが理解される。多くの異なるタイプのレチクルは、当技術分野で知られており、本明細書で使用される用語「レチクル」、「マスク」、および「フォトマスク」は、当技術分野で知られている全てのタイプのレチクルを包括することを意図される。
「フロアプラン(floorplan)」として知られる半導体チップ設計は、セルとして知られる構造を繰返すための設置情報を含む。この情報は、GDSIIまたはOASISファイルフォーマットで通常記憶されるチップの物理的設計から抽出されうる。構造的挙動またはプロセス設計の相互作用は、セルの状況(環境)の関数でありうる。フロアプランを使用することによって、提案される解析は、どのセルタイプに問題があるか、そのセル内の場所がどこか(問題のあるポリゴンの座標)、およびこれが要因である場合、セルに問題がある状況(隣接セルであるもの)を自動的に告げることができる。
所与のウェハについて検査器によって見出される各欠陥は、ダイおよびレチクルレベルで通常行われる標準的なセルリピータ解析を受けることになる。従来から、リピータ解析が、セル欠陥性に関して、ダイにわたって、レチクルにわたって、ウェハにわたって、またウェハ−ウェハ間で実施されて、プロセス変動に敏感である弱い構造と相互作用する、ダイレベル現象、レチクルレベル現象、ウェハレベル現象、およびプロセス機器レベル現象のシグネチャを特定する。
他の実施形態では、レチクル検査システムによって生成されるレチクルの画像は、設計データ空間内で設計データとして使用される。レチクルは、ウェハ上に設計データを印刷するために使用される。こうして、レチクルの画像が、高倍率光学レチクル検査システムまたは電子ビームベースレチクル検査システムによってそれぞれ取得される。代替的に、レチクルの画像は、エリア画像化レチクルシステムによって取得されるレチクルのエリア画像とすることができる。レチクルの画像は、1つまたは複数のステップを実施するために設計データを使用する、本明細書で述べる実施形態のうちの任意の実施形態で、設計データ用のプロキシとして使用することができる。
本開示で使用される用語「設計データ(design data)」は、一般に、集積回路の物理的設計および複雑なシミュレーションまたは簡単な幾何演算とブール演算による、物理的設計から算出されるデータを指す。さらに、レチクル検査システムによって取得されるレチクルの画像および/またはその派生物は、設計データ用の1つまたは複数のプロキシとして使用することができる。こうしたレチクル画像またはその派生物は、設計データを使用する本明細書で述べる任意の実施形態で、設計レイアウトの代替物として役立つことができる。設計データおよび設計データプロキシは、その全てが参照によって本明細書に組み込まれる、2010年3月9日に発行されたKulkarniによる米国特許第7,676,007号、2011年5月25日に出願されたKulkarniによる米国特許出願シリアル番号第13/115,9587号、2011年10月18日に発行されたKulkarniによる米国特許第8,041,103号、および2009年8月4日に発行されたZafar等による米国特許第7,570,796号に記載される。
図1Aおよび図1Bは、本明細書でさらに述べる種々のプロセスを実施するために利用することができる検査システム100を示す。一態様では、システム100は、サンプルステージ112上に配設される半導体ウェハ104上の欠陥を検出するように構成された検査ツール102を含むことができる。検査ツール102は、限定はしないが、明視野検査システム、暗視野検査システム、または電子ビーム検査システムなどの、当技術分野で知られている任意の適切な検査システムを含むことができる。さらなる態様では、検査ツール102は、照明源106、ビームスプリッタ108、および検出器110を含むことができる。
照明源106は、当技術分野で知られている任意の照明源を含むことができる。たとえば、照明源106は、レーザ源などの狭帯域光源を含むことができる。さらなる実施形態では、照明源106は、光をビームスプリッタ108に向けるように構成することができる。次に、ビームスプリッタ108は、照明源106から、サンプルステージ112上に配設されるウェハ104の表面に光を向けるように構成することができる。さらに、ビームスプリッタ108は、ウェハ104から反射した光を検出器110に送るように構成することができる。
検出器110は、当技術分野で知られている任意の適切な検出器を含むことができる。一実施形態では、検出器110は、電荷結合素子(CCD)カメラを含むことができる。検出器110は、ウェハ104上の実際の欠陥(たとえば、欠陥122)を検出するために使用することができる。さらなる実施形態では、検出器110の出力は、1つまたは複数のコンピューティングシステム114に通信可能に結合することができる。この点に関して、1つまたは複数のコンピューティングシステム114は、検出器110によって収集され送信された検出データを使用してウェハ104上の実際の欠陥を検出するように構成することができる。1つまたは複数のコンピューティングシステム110は、ウェハ104上の欠陥を検出するために、当技術分野で知られている任意の方法および/またはアルゴリズムを利用することができる。検査ツール102が、半導体ウェハにわたって分布した欠陥を検出するために利用することができることを当業者は認識すべきである。たとえば、図1Bに示すように、ウェハ104は、ウェハ104の複数のダイ120にわたって分布した複数の欠陥を含む場合がある。
さらに、1つまたは複数のコンピューティングシステム110は、任意の適した方法で(当技術分野で知られている任意の適した伝送媒体を含むことができる図1に示す点線で示す1つまたは複数の伝送媒体によって)検出器に結合することができ、それにより、コンピュータシステムは、検出器によって生成される出力を受信しうる。さらに、検査ツール102が2つ以上の検出器(図示せず)を含む場合、1つまたは複数のコンピューティングシステム110は、上述したように各検出器に結合することができる。さらなる実施形態では、ウェハ104は、サンプルステージ112上に配設することができる。サンプルステージ112は、当技術分野で知られている任意の適切な機械組立体および/またはロボット組立体を含むことができる。
さらなる実施形態では、検査ツール102は、半導体ウェハ104の欠陥を動的に検出するために、システム100の別のサブシステムからの命令を受入れるように構成することができる。たとえば、検査ツール102は、システム100の1つまたは複数のコンピューティングシステム114からの命令を受入れることができる。1つまたは複数のコンピューティングシステム114からの命令を受入れると、検査ツール102は、は、提供される命令において特定される半導体ウェハ104の場所で検査プロセスを実施することができる。1つまたは複数のコンピューティングシステム110は、本明細書で述べる方法の実施形態のうちの任意の方法の実施形態の任意の他のステップ(複数可)を実施するように構成することができる。
別の実施形態では、システム100の1つまたは複数のコンピューティングシステム110は、有線部および/または無線部を含む伝送媒体によって他のシステムからのデータまたは情報(たとえば、検査システムからの検査結果または計測システムからの計測結果)を受信するかつ/または取得するように構成することができる。こうして、伝送媒体は、1つまたは複数のコンピューティングシステム110とシステム100のサブシステムとの間のデータリンクとして役立つことができる。さらに、1つまたは複数のコンピューティングシステム110は、伝送媒体を介してデータを外部システムに送信することができる。
1つまたは複数のコンピューティングシステム110は、パーソナルコンピュータ、メインフレームコンピュータシステム、ワークステーション、画像コンピュータ、並列コンピュータ、または当技術分野で知られている任意の他のデバイスを含むことができるが、それに限定されない。一般に、用語「コンピューティングシステム(computing system)」は、メモリ媒体からの命令を実行する1つまたは複数のプロセッサを有する任意のデバイスを包含するよう幅広く定義することができる。
本明細書でさらに述べる方法などの方法を実装するプログラム命令118は、キャリア媒体116を通じて伝送するかまたはキャリア媒体116上に記憶することができる。キャリア媒体116は、ワイヤ、ケーブル、または無線伝送リンクなどの伝送媒体とすることができる。キャリア媒体116はまた、読出し専用メモリ、ランダムアクセスメモリ、磁気ディスクまたは光ディスク、あるいは磁気テープなどの記憶媒体を含むことができる。
図1に示すシステム100の実施形態は、本明細書で述べるようにさらに構成することができる。さらに、システム100は、本明細書で述べる方法の実施形態(複数可)の任意の方法の実施形態の任意の他のステップ(複数可)を実施するように構成することができる。
図2は、設計ベースデバイス評価のためのコンピュータ実装式方法200で実施されるステップを示すフロー図である。
第1のステップ202にて、複数の関心のパターンは、ウェハに関連付けられる設計データを利用して定義することができる。特定されるPOIのそれぞれは、所与のデバイスのパフォーマンスにとってあるレベルのクリティカリティを持つことができることが本明細書で留意される。いくつかの実施形態では、複数のPOIは、電子設計自動化(EDA)ツールからのデータおよび他の知識を利用して半導体ウェハ上で特定することができる。EDAによって生成される設計に関する任意のこうした情報は、複数のPOIを特定するために使用することができる。たとえば、POIは、ウェハのPOIと同じ層または別の層上でクリティカルパスの一部を形成するか、あるいは、クリティカルパスにまたはクリティカルパスの近くに位置するパターンとして選択することができる。さらに、設計データは、任意の適した方法で1つまたは複数のPOIについて探索される場合がある。たとえば、1つまたは複数のPOIについて設計データを探索することは、Kulkarni等およびZafar等による先に参照した特許出願に記載されるように実施することができる。さらに、POI(複数可)は、この特許出願で記載される任意の他の方法またはシステムを使用して選択または特定することができる。さらなる実施形態では、複数のPOIは、設計ルールチェック(DRC)プロセス、光学ルールチェック(ORC)、または不良解析(FA)プロセスを利用して特定されて、デバイスパフォーマンスにとってクリティカルなパターンを特定することができる。別の実施形態では、複数のPOIは、プロセスウィンドウ定性化法(PWQ)を利用して特定することができる。1つまたは複数のPOIについて設計データを探索することは、参照により組込まれる、Kulkarni等およびZafar等による上述した参考文献に記載されるように実施することができる。さらに、POI(複数可)は、これらの特許出願で記載される任意の他の方法またはシステムを使用して選択または特定することができる。
第2のステップ204にて、設計ベース分類(DBC)データベースを生成することができる。一態様では、DBCデータベースは、所与のデバイスのパフォーマンスにとってクリティカルな関心のパターンのそれぞれに関連付けられる設計データを含む。たとえば、DBCデータベースは、グラフィカルデータストリーム(GDS)ファイルなどのデータ構造に記憶される設計データを組み込むことができる。GDSIIファイルは、設計レイアウトデータの表現のために使用される1つのクラスのファイルである。他のタイプのファイルは、GLIファイルおよびOASISファイルを含むことができる。さらなる態様では、POIを特定すると、DBCデータベースは、設計ベースビニング(DBB)プロセスを利用して形成することができる。GDSファイルタイプおよび設計ベースビニングの利用は、一般に、参照により組込まれる、Kulkarni等およびZafar等による参考文献に記載される。一般的に、システム100は(たとえば、1つまたは複数のコンピューティングシステム110を介して)、注目すべきパターンタイプを、そのパターンの対応する空間座標(たとえば、X−Y座標)と共に含むデータベースまたはライブラリを、特定の設計と共に生成することができる。
さらなる態様では、DBCデータベースは、ステップ302で定義される各POIに関連付けられるクリティカリティ因子または「重み(weight)」を含むことができる。いくつかの実施形態では、POIのそれぞれに関連付けられるクリティカリティの「重み」は、限定はしないが、ウェハのロジック部/メモリ部、機能試験、不良解析(FA)、および同様なものに関連付けられるデータなどの試験データを利用して確定される。本明細書でさらに述べるように、所与のデバイス内のパターンの発生の頻度と共に、パターンに関連付けられるクリティカリティ因子は、所与のダイまたはデバイス全体についての不良の相対的リスクレベルを確定するために使用することができる。
第3のステップ206にて、1つまたは複数の検査結果を受信することができる。一態様では、検査ツール102の検出器110からの1つまたは複数の検査結果は、1つまたは複数のコンピューティングシステム114によって受信することができる。1つまたは複数のコンピューティングシステム114によって受信される検査結果は、当技術分野で知られる任意のタイプの検査データを含むことができる。たとえば、検査データは、明視野(BF)検査データまたは暗視野(DF)検査データを含むことができるが、それに限定されない。第4のステップ208にて、ステップ206にて取得される1つまたは複数の検査結果は、DBCライブラリのPOIのそれぞれと比較されて、クリティカルなPOIの発生を監視することができる。
第5のステップ208にて、それぞれの関心のパターンの収率影響を、エンドオブライン収率データを使用して確定することができる。この点に関して、それぞれの関心のパターンのクリティカリティは、所与のパターンがエンドオブライン収率に及ぼす影響を解析することによって定性化することができる。先に述べたように、それぞれの関心のパターンは、異なるクリティカリティを持つ。所与の関心のパターンの相対的クリティカリティを評価するため、パターンタイプが、試験データ(たとえば、ロジック試験、メモリ試験、機能試験、FA、および同様なもの)を使用して評価することができる。さらに、クリティカリティは、設計パターングループ化を利用して系統的に確定することができ、システムが、従来技術で必要とされる欠陥分類を必要とすることなく、クリティカリティを確定することを可能にする。
第6のステップ210にて、関心のパターンのそれぞれの発生の頻度およびクリティカリティが監視されて、デバイスエクスカーションがあるかデバイスを監視することができる。一実施形態では、それぞれの関心のパターンに関連付けられるクリティカリティを、所与の関心のパターンの発生の頻度と共に、正規化されたパレトチャートにおいて監視し表示することができる。図3は、パターンタイプの関数としてのエリア欠陥密度を示す重み付きパレトチャート300を示す。斜線バーは、欠陥密度の予測レベルを示し、一方、白バーは、実際の欠陥密度を示す。たとえば、この状況における典型的なパレトチャートは、x軸に沿ってGDSパターングループIDを、y軸に沿って欠陥計数を示すことができる。一般的に、パレトチャートは、異なるグループのそれぞれになるようビニングされる欠陥の数を示し、異なるグループのそれぞれは、GDSパターンの異なる部分に対応する。実際の欠陥密度と予測欠陥密度との比が典型的な値より大きいとき、デバイスエクスカーションが一般に示されることを当業者はさらに認識すべきである。パレトチャートを使用するエクスカーション解析は、参照により本明細書に組込まれる2011年7月5日に発行されたFlorenceによる米国特許第7,975,245号に記載される。
図4は、パターンタイプの関数としての欠陥密度を示す統計的プロセスコントロール(SPC)データ400のセットを示す。図4のデータ400は、各POIのクリティカリティを利用して生成される所与のビンについてのある期間にわたって生成される重み付きトレンドチャート404を示す。このタイプの解析プロセスを利用すると、プロセスツール変動を含む典型的な欠陥密度レベル402を超えるエクスカーション406は、プロセスツール共通性によって解析することができる。たとえば、KLA−TENCORによって生成されるKLARITYは、プロセスツール共通性によって解析することができる、プロセスツール変動を含むプロセスエクスカーション問題を解析するのに適する。この開示の本方法およびシステムが、種々の関心のパターンに関連付けられる重み付きクリティカリティ値を使用してSPCチャート400の生成を可能にすることが本明細書で留意される。
第7のステップ212にて、デバイスリスクレベルは、クリティカルポリゴンのそれぞれの発生の頻度およびクリティカルポリゴンのそれぞれについてのクリティカリティを利用して、デバイスについての正規化されたポリゴン頻度を計算することによって確定することができる。一態様では、デバイスに関連付けられる設計データのクリティカルポリゴンは、設計ベース探索アルゴリズムを利用して確定される。一実施形態では、本発明の設計ベース分類アルゴリズムは、クリティカルパターンがそこに位置する、デバイスの設計データ内の1つまたは複数のポリゴンを特定することができる。したがって、ステップ212にて見出されるクリティカルポリゴンの空間的解析は、デバイスにおいて見出される物理的欠陥によって生成されるデバイスの総合的デバイスリスクを示すことができる。さらなる実施形態では、システム100は、各ポリゴンタイプの発生の頻度を含む頻度テーブルを、各ポリゴンタイプに関連付けられる対応するリスクレベルと共に生成することができる。
さらなる実施形態では、物理的FAまたはDRCが共に、システム100によって利用されて、クリティカルポリゴンのサイズ、位置、および頻度を確定することができる。別の態様では、シミュレーションプロセスを、クリティカルポリゴンを確定するために利用することができる。システム100の1つまたは複数の設計ファイルを利用して、詳細な検査エリアを生成することができ、設計レイアウトの種々のブロックにおけるクリティカルポリゴンの設置と共に、検査調節およびビニングの改善を可能にする。図5は、本発明のクリティカルポリゴンの概念図を示す。図5に示すように、複数のポリゴンタイプ502を利用することができる。こうして、クリティカルポリゴンの少なくとも一部分は、本方法の前のステップで特定されたクリティカルパターンに近接して位置することができる。デバイスの設計データを使用したポリゴンの実装は、一般に、参照により本明細書に組込まれる2009年8月3日に出願されたZafarによる米国特許出願シリアル番号第12/534,547号に記載される。
さらなる態様では、システム100は、特定されたクリティカルポリゴンを利用して総合的デバイスリスクレベルを確定することができる。こうして、システム100の1つまたは複数のコンピューティングシステム110は、設計データを使用して特定されたそれぞれの個々のクリティカルポリゴンに関連付けられる発生の頻度およびクリティカリティを利用してデバイスについての正規化されたポリゴン頻度を計算することができる。サイズおよび/またはクリティカリティにおけるクリティカルポリゴンの数が増加するにつれて、(クリティカルポリゴンと相関する)クリティカル欠陥の存在による不良の総合的リスクもまた増加することになることが本明細書で留意される。たとえば、観測される高いレベルのクリティカルポリゴンは、デバイスがチップ不良の高い確率を有することを示す。
不良のデバイスレベルリスクは、
として表すことができる。
ここで、DLRはデバイスリスクレベルを示し、NPFは正規化されたポリゴン頻度を示し、fpはポリゴンの各タイプについてのポリゴン頻度を示し、Aはそれぞれのポリゴンタイプの面積を示す。この点に関して、正規化ポリゴン頻度アルゴリズムを利用して計算されるデバイスレベルリスクは、パターン不良指数を示し、パターン不良指数は、1つまたは複数のクリティカルパターンの結果としての各デバイスの不良のリスクを評価するために使用することができる。こうして、生成される頻度テーブルは、系統的収率損失を含むプロセス変動に対しする感度によって割当てることができるデバイスリスクレベルの評価を可能にする。一般的に、先の説明は、制限として解釈されるべきでない。正規化ポリゴン頻度アルゴリズムを、任意の数のポリゴンおよびポリゴン形状に拡張することができることが本明細書で企図される。さらに、実際のデバイスレベルリスクと計算された正規化ポリゴン頻度との間の相関関数は、任意の適した形態をとることができる。
さらなる実施形態では、高いレベルのクリティカルポリゴンを示すNPFチャートを検出すると、ファウンドリユーザは、考えられる収率損失を低減するために、プロセス仕様(たとえば、オーバレイ、クリティカルな寸法、厚さ、プロセスツール因子、および同様なもの)を厳しくするか、または、DFMルールを修正するかを判定することができる。図5は、高いNPFデバイスについてどの様式の処置が講じられるかを判定するための選択可能な閾値と共に、デバイスの機能にわたるNPF(NPF across a function of devices)の概念図を示す。プロセス仕様を最適化するための閾値およびDFMに関して設計を最適化するための閾値は、点線506および504でそれぞれ示される。トレンドライン502は、示すデバイス、デバイスA、デバイスB、およびデバイスCの状態を示す。たとえば、相対的に低いNPFを示す(したがって、不良の低いリスクにある)デバイスAの場合、選択される閾値は、システム100(たとえば、ファウンドリファブソフトウェアを実装するシステム)が、適切な処置のためにファウンドリユースにフィードバックを提供するようにさせることができる。たとえば、図5のデバイスAの場合、システム100は、プロセス仕様を最適化する推奨をユーザに与えることができる。別の例として、デバイスBの場合、システム100は、DFMに関するデバイス設計を最適化する推奨をユーザに与えることができる。
図7は、クリティカル欠陥を利用して動的サンプリングを提供するためのコンピュータ実装式方法700で実施されるステップを示すフロー図である。フロー図200の状況で本明細書において先に述べた実施形態および実現技術が、方法700に拡張されると解釈されるべきであることに出願人は留意する。
第1のステップ702にて、ウェハ上の複数のクリティカルパターンタイプを特定することができる。一実施形態では、デバイス上の複数のクリティカルパターンは、デバイスパフォーマンスまたは収率損失にとって各パターンタイプのクリティカリティまたは重要性を利用して特定することができる。この点に関して、複数の欠陥を特定すると、システム100のコンピューティングシステム110は、特定のパターンタイプになるよう複数の欠陥をグループ化することができる。複数のパターンを利用して、システム100は、所与のダイまたはウェハ全体について各パターンに関連付けられる相対的リスクレベルをさらに提供することができる(より詳細には方法200参照)。
第2のステップ704にて、デバイスリスクレベルは、特定されたクリティカルパターンタイプまたは関心のパターンのそれぞれについての計算されたリスクレベルおよび発生の頻度を利用して確定することができる。第3のステップ706にて、デバイスの1つまたは複数のエクスカーションを特定することができる。この点に関して、関連するエクスカーションは、クリティカルパターンタイプのそれぞれに関連付けられるリスクレベルおよび発生の頻度を利用して特定することができる。たとえば、所与のパターンタイプに関連付けられる欠陥密度が所定の基準を超えるとき、システム100は、デバイスエクスカーションとしてインスタンスを特定することができる。たとえば、図3に示すものと同様のパレトチャートは、関連するエクスカーションを特定するために使用することができる。それぞれの関心のパターンの解析情報を利用して、リスク指数を、ウェハの各ダイについて確定することができる。確定されたリスク指数に基づいて、プロセス条件に対して変更を行うこと、または、最低でも収率の減少を予測することを考えるかどうかについての推奨を示す信号を、ユーザ(たとえば、設計ユーザ)に送信することができる。
第4のステップ708にて、1つまたは複数のデバイスエクスカーションの特定に応答して、動的ウェハ選択を確定することができる。この点に関して、クリティカルPOIを利用してエクスカーションが検出されると、ユーザは、ウェハ選択を増加したいと思うことが本明細書で企図される。第5のステップ710にて、特定されたクリティカルパターンタイプの1つまたは複数を、動的にサンプリングすることができる。一実施形態では、欠陥サンプリングの量は、クリティカルパターン発生の数に比例して変化する場合がある。したがって、特定のクリティカルパターンが他のパターンタイプに比べて発生頻度が増加するにつれて、より発生し易いパターンが、高いレートでサンプリングされることになる。別の実施形態では、システム100は、低頻度のパターンのサンプリングを可能にするために、高頻度のパターンについてのサンプリングレートを比較的低いレートに維持するよう調整することができる。これは、サンプリングバジットが存在するとき、特に有利である。
図8は、メモリデバイス内で収率相関を確定するためのコンピュータ実装式方法800で実施されるステップを示すフロー図である。フロー図200の状況で本明細書において先に述べた実施形態および実現技術が、方法800に拡張されると解釈されるべきであることに出願人は留意する。全てのデバイス収率損失が、その領域のクリティカリティによって定義されない欠陥の存在に関連するわけではないことが本明細書で留意される。たとえば、冗長エリア内の欠陥は、収率に影響を全く及ぼさない場合があり、一方、SAエリア内の欠陥は、デバイス収率に対する大きな影響を示す場合がある。以下の方法は、キルドダイ解析(killed die analysis)におけるより微細な解像度のために、厳密な検査エリア定義をセットアップし、設計データを収率データに相関させるのを補助するために設計データの使用を組み込む。設計データの使用は、デバイス上の複数のエリア(たとえば、主要エリアと冗長エリア)間のより微細な分離を可能にし、一方、同様に、コスメティック欠陥が、影響が大きい欠陥から識別されることを可能にする。
第1のステップ802にて、複数の領域は、設計データを利用してデバイスの1つまたは複数の基本的エリアに基づいて定義することができる。いくつかの実施形態では、その領域は、デバイスの主要エリア、SAエリア、デバイスの冗長メモリエリア、またはデバイスのダミーエリアの少なくとも1つに基づくことができる。第2のステップ804にて、1回または複数回の検査測定を、ステップ802にて定義された領域に関して実施することができる。たとえば、検査プロセスは、暗視野検査または明視野検査などの、当技術分野で知られている任意の検査プロセスを含むことができる。第3のステップ806にて、所定のコントロール限界を下回る1つまたは複数の定義された領域の1つまたは複数のダイを、ステップ804にて収集された検査データを利用して特定することができる。この点に関して、ユーザは、1つまたは複数のダイにおける予想される欠陥頻度に基づいて制限されるコントロールを確立することができる。第4のステップ808にて、所定のコントロール限界を下回る1つまたは複数のダイをその領域のそれぞれのインラインデータと比較することによって、収率損失に影響を及ぼす領域を特定することができる。この点に関して、システム100は、クリティカルな関心のパターンを使用するダイロールアップに基づいてダイを特定し、ビニングすることができる。ダイ当たりの欠陥密度または欠陥計数と対照的に、ダイ指数を、各ダイに関連付けられるリスクを確定するように構成することができることが本明細書で企図される。
図9は、空間解析を使用してデバイス処理を監視するためのコンピュータ実施式方法900で実施されるステップを示すフロー図である。フロー図200の状況で本明細書において先に述べた実施形態および実現技術が、方法900に拡張されると解釈されるべきであることに出願人は留意する。デバイス処理機器は、限定はしないが、エッチレート差、チャンバ内のプラズマパターン、排気および吸気ガス流パターン、および温度変動などの種々の因子による不良またはプロセス変動シグネチャに遭遇することが多いことが本明細書で留意される。これらのタイプの変動は、パターン不良および/またはノイズレベル変化をもたらす。変動する空間的信号の解析を、プロセスを監視し、ノイズをフィルタリング除去し、プロセスツール不良を隔離するために使用することができる。
第1のステップ902にて、プロセス変動シグネチャを、検査ツールを使用して、1つまたは複数のプロセスステップ間で1つまたは複数のデバイスを検査することによって監視することができる。第2のステップ904にて、デバイスの1つまたは複数の関心のパターンを、設計ベース分類プロセスを使用して、監視されるプロセス変動に関連付けることができる。第3のステップ906にて、1つまたは複数の機器シグネチャを、ステップ904にて見出される関連付けられる関心のパターンを使用して、システム100によって特定することができる。さらなるステップ908にて、1つまたは複数の機器シグネチャを、取得される欠陥マップから減算するために使用することができる。この点に関して、機器シグネチャを、欠陥マップから除去することができ、したがって、欠陥マップに存在する欠陥パターンを隔離する。この補正は、システム100が、系統的機器シグネチャを除去することを可能にし、総合的欠陥データを改善する。
本明細書で述べる方法の全ては、方法の実施形態の1つまたは複数のステップの結果を記憶媒体に記憶することを含む。その結果は、本明細書で述べる結果の任意の結果を含むことができ、また、当業者に知られている任意の方法で記憶することができる。記憶媒体は、本明細書で述べる任意の記憶媒体または当技術分野で知られている任意の他の適した記憶媒体を含むことができる。結果が記憶された後、その結果は、記憶媒体内でアクセスされうる、本明細書で述べる方法またはシステムの実施形態の任意のものによって使用されうる、ユーザに対する表示のためにフォーマットされうる、別のソフトウェアモジュール、方法、またはシステムによって使用されうる、などである。さらに、その結果を、「永久的に(permanently)」、「半永久的に(semi-permanently)」、「一時的に(temporarily)」、またはある期間の間、記憶することができる。たとえば、記憶媒体は、ランダムアクセスメモリ(RAM)とすることができ、その結果は、記憶媒体内に必ずしも無期限に存続することができるわけではない。
上述した方法の実施形態のそれぞれが、本明細書で述べる任意の他の方法(複数可)の任意の他のステップ(複数可)を含むことができることがさらに企図される。さらに、上述した方法の実施形態のそれぞれを、本明細書で述べるシステムの任意のシステムによって実施することができる。
最新技術が、システムの態様のハードウェア実装とソフトウェア実装との間に差がほとんど残されていない点まで進んでおり、ハードウェアまたはソフトウェアの使用が、一般に、(ある状況では、ハードウェアとソフトウェアとの間の選択が重要になりうる点で、常にそうではないが)コスト対効率のトレードオフを示す設計選択であることを当業者は認識するであろう。本明細書で述べるプロセスおよび/またはシステムおよび/または他の技術がそれによって実施されうる種々の担体(たとえば、ハードウェア、ソフトウェア、および/またはファームウェア)が存在すること、および、プロセスおよび/またはシステムおよび/または他の技術が配備される状況によって好ましい担体が変動することになることを当業者は理解するであろう。たとえば、実装者は、速度および精度が最重要であると判定する場合、主にハードウェアおよび/またはファームウェアの担体を選択することができる。代替として、柔軟性が最重要である場合、実装者は、主にソフトウェア実装を選択することができる。または、再び代替として、実装者は、ハードウェア、ソフトウェア、および/またはファームウェアのある組合せを選択することができる。したがって、本明細書で述べるプロセスおよび/またはシステムおよび/または他の技術をそれによって実施することができる、考えられるいくつかの担体が存在し、そのいずれもが、利用される任意の担体が、担体が配備されることになる状況、実装者の特定の関心事(たとえば、速度、柔軟性、または予測可能性)(そのうちの任意のものが変動する場合がある)に依存する選択である点で、本質的に他の担体より優れていない。実装の光学的態様が、通常、光学指向のハードウェア、ソフトウェア、およびファームウェアを採用することになることを当業者は認識するであろう。
本明細書で述べる方法でデバイスおよび/またはプロセスを述べ、したがって、こうした述べたデバイスおよび/またはプロセスをデータ処理システムに統合するためにエンジニアリング技法を使用することが当技術分野内で一般的であることを当業者は認識するであろう。すなわち、本明細書で述べるデバイスおよび/またはプロセスの少なくとも一部分は、適度の量の実験によってデータ処理システムに統合されうる。典型的なデータ処理システムが、一般に、システムユニットハウジング、ビデオディスプレイデバイス、揮発性および不揮発性メモリなどのメモリ、マイクロプロセッサおよびデジタル信号プロセッサなどのプロセッサ、オペレーティングシステム、ドライバ、グラフィカルユーザインタフェース、およびアプリケーションプログラムなどのコンピュータのエンティティ、タッチパッドまたはタッチスクリーンなどの1つまたは複数の相互作用デバイス、ならびに/または、フィードバックループおよびコントロールモータ(たとえば、位置および/または速度を検知するためのフィードバック;コンポーネントおよび/または量を移しかつ/または調整するためのコントロールモータ)を含むコントロールシステムの1つまたは複数を含むことを当業者は認識するであろう。典型的なデータ処理システムは、データコンピューティング/データ通信システムおよび/またはネットワークコンピューティング/ネットワーク通信システムにおいて通常見出されるような任意の適した市販のコンポーネントを利用して実装することができる。
本明細書で述べる主題は、異なる他のコンポーネント内に含まれるかまたはそれに接続される異なるコンポーネントを示すことがある。示すこうしたアーキテクチャは、単に例示であること、および、実際には、同じ機能を達成する多くの他のアーキテクチャが実装されうることが理解される。概念的な意味で、同じ機能を達成するためのコンポーネントの任意の配置は、所望の機能が達成されるように効果的に「関連付けられている(associated)」。したがって、特定の機能を達成するために本明細書で組合される任意の2つのコンポーネントは、アーキテクチャまたは中間コンポーネントによらず、所望の機能が達成されるように互いに「関連付けられている」と見なされうる。同様に、そのように関連付けられた任意の2つのコンポーネントはまた、所望の機能を達成するために、互いに「動作可能に接続されている(operably connected)」または「動作可能に結合されている(operably coupled)」と見なされうる。また、そのように関連付けられることが可能な任意の2つのコンポーネントはまた、所望の機能を達成するために、互いに「動作可能に結合可能である(operably couplable)」と見なされうる。動作可能に結合可能な、の特定の例は、物理的に嵌合可能なおよび/または物理的に相互作用するコンポーネント、および/または、無線で相互作用可能なおよび/または無線で相互作用するコンポーネント、および/または、論理的に相互作用するおよび/または論理的に相互作用可能なコンポーネントを含むが、それに限定されない。
本明細書で述べる本主題の特定の態様が示され述べられたが、本明細書の教示に基づいて、本明細書で述べる主題から逸脱することなく変更および修正を行うことができ、そのより広い意味の態様、したがって、添付クレームが、本明細書で述べる主題の真の趣旨および範囲内にある全てのこうした変更および修正を、その範囲内に包含することが当業者に明らかになる。
さらに、本発明が添付クレームによって定義されることが理解される。一般に、本明細書で、特に添付クレーム内(たとえば、添付クレームの要部)で使用される用語は、一般に、「非限定的な(open)」用語として意図されることが当業者によって理解されるであろう(たとえば、用語「含んでいる(including)」は、「…を含んでいるがそれに限定されない(including but not limited)」として解釈されるべきであり、用語「有している(having)」は、「少なくとも…を有している(having at least)」として解釈されるべきであり、用語「含む(includes)」は、「…を含むがそれに限定されない(includes but not limited)」として解釈されるべきである、など)。特定の数の導入クレーム列挙が意図される場合、導入されたクレーム記載についての特定の数が意図される場合、こうした意図はクレーム中に明示的に記載され、こうした記載がない場合は、こうした意図も存在しないことが当業者によってさらに理解されるであろう。たとえば、理解の補助として、後続の添付クレームは、クレーム記載を導入するために、導入句「少なくとも1つの(at least one)」および「1つまたは複数の(one or more)」の使用を含むことができる。しかし、こうした句の使用は、不定冠詞「ある(a)」または「ある(an)」によるクレーム記載の導入が、たとえ同一のクレームが「1つまたは複数の」または「少なくとも1つの」という導入句および「ある(a)」または「ある(an)」などの不定冠詞を含むときでも、こうして導入されたクレーム記載を含む任意の特定のクレームを、1つだけのこうした記載事項を含む発明に限定することを示唆すると解釈されるべきではない(たとえば、「ある(a)」および/または「ある(an)」は、通常、「少なくとも1つの」または「1つまたは複数の」を意味すると解釈されるべきである)。さらに、導入されたクレーム記載についての特定の数が明示的に記載される場合でも、こうした記載は、通常、少なくとも記載された数を意味すると解釈されるべきであることを、当業者は認識するであろう(たとえば、他に修飾語のない、「2つの記載事項」という単なる記載は、通常、少なくとも2つの記載事項、または2つ以上の記載事項を意味する)。さらに、「A、B、およびCなどの少なくとも1つ」に類する表記が使用される場合、一般に、こうした構造は、当業者がその表記を理解することになる意味で意図される(たとえば、「A、B、およびCの少なくとも1つを有するシステム」は、Aのみ、Bのみ、Cのみ、AとBの両方、AとCの両方、BとCの両方、および/またはAとBとCの全て、などを有するシステムを含むがそれに限定されない)。「A、B、またはCなどの少なくとも1つ」に類する表記が使用される場合、一般に、こうした構造は、当業者がその表記を理解することなる意味で意図される(たとえば、「A、B、またはCの少なくとも1つを有するシステム」は、Aのみ、Bのみ、Cのみ、AとBの両方、AとCの両方、BとCの両方、および/またはAとBとCの全て、などを有するシステムを含むがそれに限定されない)。さらに、2つ以上の代替的な用語を表す実質的にあらゆる離接語および/または離接句は、説明文内であろうと、特許請求の範囲内であろうと、または図面内であろうと、その用語のうちの1つ、その用語のうちのいずれか、またはそれらの用語の両方を含む可能性を企図すると理解されるべきであることが、当業者によってさらに理解されるであろう。たとえば、句「AまたはB」は、「A」または「B」または「AおよびB」の可能性を含むことが理解されるであろう。
本発明の特定の実施形態が示されたが、本発明の種々の修正形態および実施形態を、先の開示の範囲および趣旨から逸脱することなく当業者によって行うことができることが明らかである。したがって、本発明の範囲は、添付特許請求の範囲のみによって制限されるべきである。
本開示およびその付随する利点の多くが、先の説明によって理解されることになると思われ、また、開示される主題から逸脱することなく、または、その素材の利点の全てを犠牲にすることなく、コンポーネントの形態、構造、および配置において種々の変更を行うことができることが明らかになるであろう。述べる形態は、単に例示であり、こうした変更を包含することが、添付特許請求の範囲の意図である。

Claims (6)

  1. 空間解析を使用してデバイス処理を監視するための方法であって、
    1つまたは複数のプロセスステップの間で1つまたは複数のデバイスを検査することによってプロセス変動シグネチャを監視すること、
    前記1つまたは複数のデバイスの1つまたは複数の関心のパターンを、設計ベース分類プロセスを使用して、監視されるプロセス変動に関連付けること、
    前記1つまたは複数の関連付けされた関心のパターンを使用して、1つまたは複数の機器シグネチャを特定すること、
    欠陥マップから特定された前記1つまたは複数の機器シグネチャを除去することにより、前記1つまたは複数のデバイスの欠陥マップから1つまたは複数の欠陥を分離すること、
    を含む方法。
  2. 前記1つまたは複数のデバイスの欠陥マップから1つまたは複数の欠陥を分離することは、前記デバイスの欠陥マップから前記特定される1つまたは複数の機器シグネチャを減算することを含む
    請求項1に記載の方法。
  3. 空間分析を使用してデバイス処理を監視する装置であって、
    1つまたは複数のプロセスステップの間で1つまたは複数のデバイスの検査結果を取得する検査ツールと、
    前記検査ツールと通信するコンピューティングシステムであり、1つまたは複数のプロセッサを備え、前記プロセッサは、プログラム指令群を実行したときに、
    前記1つまたは複数のプロセスステップの間の前記1つまたは複数のデバイスの検査結果を前記検査ツールから受信し、
    受信した前記1つまたは複数のプロセスステップの間の前記1つまたは複数のデバイスの検査結果に基づいて前記1つまたは複数のデバイスに関連するプロセス変動シグネチャを特定し、
    前記1つまたは複数のデバイスの1つまたは複数の関心のパターンを、設計ベース分類プロセスを使用して、特定された前記プロセス変動シグネチャに関連付け、
    前記1つまたは複数の関連付けされた関心のパターンを使用して、1つまたは複数の機器シグネチャを特定し、
    欠陥マップから特定された前記1つまたは複数の機器シグネチャを除去することにより、前記1つまたは複数のデバイスの欠陥マップから1つまたは複数の欠陥を分離する、
    コンピューティングシステムと、
    を備える装置。
  4. 前記1つまたは複数のプロセッサは、プログラム指令群を実行したときに、さらに、前記欠陥マップから前記特定される1つまたは複数の機器シグネチャを減算することで前記
    1つまたは複数のデバイスの欠陥マップから1つまたは複数の欠陥を分離する
    請求項3に記載の装置。
  5. 前記検査ツールは、暗視野検査ツールと明視野検査ツールの少なくともいずれかを含む、
    請求項3に記載の装置。
  6. 前記検査ツールは、電子ビーム検査ツールを含む、
    請求項3に記載の装置。
JP2018080437A 2011-02-22 2018-04-19 デバイス処理監視方法及び装置 Active JP6498337B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161445164P 2011-02-22 2011-02-22
US61/445,164 2011-02-22
US13/399,805 2012-02-17
US13/399,805 US8656323B2 (en) 2011-02-22 2012-02-17 Based device risk assessment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016147718A Division JP6329209B2 (ja) 2011-02-22 2016-07-27 設計ベースデバイスリスク評価

Publications (2)

Publication Number Publication Date
JP2018139304A true JP2018139304A (ja) 2018-09-06
JP6498337B2 JP6498337B2 (ja) 2019-04-10

Family

ID=46653807

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2013555475A Active JP5980237B2 (ja) 2011-02-22 2012-02-20 設計ベースデバイスリスク評価
JP2016147718A Active JP6329209B2 (ja) 2011-02-22 2016-07-27 設計ベースデバイスリスク評価
JP2018080437A Active JP6498337B2 (ja) 2011-02-22 2018-04-19 デバイス処理監視方法及び装置

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2013555475A Active JP5980237B2 (ja) 2011-02-22 2012-02-20 設計ベースデバイスリスク評価
JP2016147718A Active JP6329209B2 (ja) 2011-02-22 2016-07-27 設計ベースデバイスリスク評価

Country Status (8)

Country Link
US (2) US8656323B2 (ja)
EP (1) EP2678880A4 (ja)
JP (3) JP5980237B2 (ja)
KR (4) KR102212388B1 (ja)
IL (1) IL228063A (ja)
SG (1) SG192891A1 (ja)
TW (1) TWI468958B (ja)
WO (1) WO2012115912A2 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5841710B2 (ja) * 2010-03-17 2016-01-13 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2012155179A (ja) * 2011-01-27 2012-08-16 Toshiba Corp 欠陥検査支援装置、欠陥検査支援方法
US8656323B2 (en) * 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
US9443051B2 (en) * 2012-08-22 2016-09-13 Mentor Graphics Corporation Generating root cause candidates for yield analysis
US9406330B1 (en) * 2013-06-19 2016-08-02 WD Media, LLC Method for HDD disk defect source detection
US10120372B2 (en) * 2013-08-01 2018-11-06 Applied Materials, Inc. Event processing based system for manufacturing yield improvement
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US10483081B2 (en) 2014-10-22 2019-11-19 Kla-Tencor Corp. Self directed metrology and pattern classification
US9800605B2 (en) * 2015-01-30 2017-10-24 Securonix, Inc. Risk scoring for threat assessment
US10018571B2 (en) 2015-05-28 2018-07-10 Kla-Tencor Corporation System and method for dynamic care area generation on an inspection tool
US10062543B2 (en) 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
KR102330738B1 (ko) * 2015-07-30 2021-11-23 케이엘에이 코포레이션 검사 도구에서의 동적 관리 영역 생성을 위한 시스템 및 방법
TWI684225B (zh) * 2015-08-28 2020-02-01 美商克萊譚克公司 自定向計量和圖樣分類
IL293649B2 (en) 2015-12-22 2023-11-01 Asml Netherlands Bv A device and method for characterizing a window process
US10365639B2 (en) * 2016-01-06 2019-07-30 Kla-Tencor Corporation Feature selection and automated process window monitoring through outlier detection
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
EP3492912A4 (en) * 2016-07-29 2020-11-04 Nikon Corporation SETTING PROCEDURES, INSPECTION PROCEDURES, DEFECT EVALUATION DEVICE AND STRUCTURAL MANUFACTURING PROCEDURES
US10055535B2 (en) * 2016-09-27 2018-08-21 Globalfoundries Inc. Method, system and program product for identifying anomalies in integrated circuit design layouts
US10706522B2 (en) 2016-11-08 2020-07-07 Kla-Tencor Corporation System and method for generation of wafer inspection critical areas
US10628544B2 (en) 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
US11023648B2 (en) 2017-12-12 2021-06-01 Siemens Industry Software Inc. Puzzle-based pattern analysis and classification
US10937705B2 (en) * 2018-03-30 2021-03-02 Onto Innovation Inc. Sample inspection using topography
US11619592B2 (en) * 2019-07-09 2023-04-04 KLA Corp. Selecting defect detection methods for inspection of a specimen
US11727171B2 (en) 2020-09-29 2023-08-15 X Development Llc Techniques for using convex fabrication loss functions during an inverse design process to obtain fabricable designs
CN115891670B (zh) * 2023-03-09 2023-06-13 西南交通大学 一种悬浮体结构及其永磁电动悬浮系统、间隙计算方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009206453A (ja) * 2008-02-29 2009-09-10 Hitachi High-Technologies Corp 製造プロセスモニタリングシステム
JP2010249656A (ja) * 2009-04-15 2010-11-04 Toshiba Corp 基板検査装置および基板検査方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4475122A (en) * 1981-11-09 1984-10-02 Tre Semiconductor Equipment Corporation Automatic wafer alignment technique
US6470489B1 (en) * 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6553329B2 (en) * 1999-12-13 2003-04-22 Texas Instruments Incorporated System for mapping logical functional test data of logical integrated circuits to physical representation using pruned diagnostic list
JP3678133B2 (ja) * 2000-10-30 2005-08-03 株式会社日立製作所 検査システムおよび半導体デバイスの製造方法
US20110178967A1 (en) * 2001-05-24 2011-07-21 Test Advantage, Inc. Methods and apparatus for data analysis
US20080189575A1 (en) * 2001-05-24 2008-08-07 Emilio Miguelanez Methods and apparatus for data analysis
AU2002312045A1 (en) * 2001-05-24 2002-12-03 Test Advantage, Inc. Methods and apparatus for semiconductor testing
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
US7487474B2 (en) * 2003-01-02 2009-02-03 Pdf Solutions, Inc. Designing an integrated circuit to improve yield using a variant design element
US7346470B2 (en) * 2003-06-10 2008-03-18 International Business Machines Corporation System for identification of defects on circuits or other arrayed products
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
JP4276503B2 (ja) * 2003-09-22 2009-06-10 株式会社日立製作所 半導体不良原因絞込み方法
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7394534B1 (en) 2003-11-19 2008-07-01 Kla-Tencor Corporation Process excursion detection
US6931297B1 (en) * 2004-03-05 2005-08-16 Lsi Logic Corporation Feature targeted inspection
US7373277B1 (en) * 2004-03-09 2008-05-13 Kla-Tencor Technologies Corp. Methods and systems for detection of selected defects particularly in relatively noisy inspection data
TW200622275A (en) * 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
US7600212B2 (en) * 2005-10-03 2009-10-06 Cadence Design Systems, Inc. Method of compensating photomask data for the effects of etch and lithography processes
US7698676B1 (en) * 2005-11-10 2010-04-13 Qi-De Qian Method and system for improving manufacturability of integrated devices
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP2007189141A (ja) * 2006-01-16 2007-07-26 Fujitsu Ltd 集積回路の製造方法。
EP1873663A1 (en) * 2006-06-27 2008-01-02 Takumi Technology Corporation Method for optimizing an integrated circuit physical layout
US20090073440A1 (en) * 2006-09-30 2009-03-19 Timothy Tiemeyer System and method for detecting surface features on a semiconductor workpiece surface
KR100828026B1 (ko) 2007-04-05 2008-05-08 삼성전자주식회사 집적회로 설계패턴의 레이아웃 수정방법 및 이를 수행하기위한 장치
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
JP4774383B2 (ja) * 2007-05-31 2011-09-14 株式会社日立ハイテクノロジーズ データ処理装置、およびデータ処理方法
CN101785009B (zh) * 2007-08-20 2012-10-10 恪纳腾公司 确定实际缺陷是潜在系统性缺陷还是潜在随机缺陷的计算机实现的方法
JP5081590B2 (ja) * 2007-11-14 2012-11-28 株式会社日立ハイテクノロジーズ 欠陥観察分類方法及びその装置
US20090273669A1 (en) * 2008-04-30 2009-11-05 Nadav Wertsman Method and system for detecting critical defects
JP5429869B2 (ja) * 2008-12-22 2014-02-26 株式会社 Ngr パターン検査装置および方法
US8594975B2 (en) * 2010-03-04 2013-11-26 Kla-Tencor Corporation Systems and methods for wafer edge feature detection and quantification
US8572440B1 (en) * 2010-11-15 2013-10-29 E.Digital Corporation System and method for managing information stored in semiconductors
US8656323B2 (en) 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009206453A (ja) * 2008-02-29 2009-09-10 Hitachi High-Technologies Corp 製造プロセスモニタリングシステム
JP2010249656A (ja) * 2009-04-15 2010-11-04 Toshiba Corp 基板検査装置および基板検査方法

Also Published As

Publication number Publication date
KR20140049514A (ko) 2014-04-25
KR20190134834A (ko) 2019-12-04
EP2678880A2 (en) 2014-01-01
US8656323B2 (en) 2014-02-18
US20120216169A1 (en) 2012-08-23
TWI468958B (zh) 2015-01-11
KR20180135102A (ko) 2018-12-19
EP2678880A4 (en) 2016-06-15
KR102051773B1 (ko) 2019-12-03
IL228063A0 (en) 2013-09-30
SG192891A1 (en) 2013-09-30
KR101931834B1 (ko) 2018-12-24
JP2014507808A (ja) 2014-03-27
KR102212388B1 (ko) 2021-02-08
JP6498337B2 (ja) 2019-04-10
US10223492B1 (en) 2019-03-05
IL228063A (en) 2017-10-31
KR20210014756A (ko) 2021-02-09
JP6329209B2 (ja) 2018-05-23
WO2012115912A2 (en) 2012-08-30
JP2016191719A (ja) 2016-11-10
TW201250503A (en) 2012-12-16
KR102351672B1 (ko) 2022-01-13
WO2012115912A3 (en) 2012-11-22
JP5980237B2 (ja) 2016-08-31

Similar Documents

Publication Publication Date Title
JP6498337B2 (ja) デバイス処理監視方法及び装置
JP6580179B2 (ja) 混合モードのウェハ検査のための方法
US7711514B2 (en) Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
JP5425779B2 (ja) 実際の欠陥が潜在的にシステム的な欠陥であるか、または潜在的にランダムな欠陥であるかを判断する、コンピューターに実装された方法
US7904845B2 (en) Determining locations on a wafer to be reviewed during defect review
TWI627397B (zh) 用於晶圓檢測之方法、晶圓檢測工具及非暫態電腦可讀媒體
JP2017527991A (ja) 単一の検査プロセスでの多プロセスステップの検査

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190312

R150 Certificate of patent or registration of utility model

Ref document number: 6498337

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250