JP2017523615A - Ic製造プロセスモデルのパラメータを決定するための方法 - Google Patents

Ic製造プロセスモデルのパラメータを決定するための方法 Download PDF

Info

Publication number
JP2017523615A
JP2017523615A JP2017506332A JP2017506332A JP2017523615A JP 2017523615 A JP2017523615 A JP 2017523615A JP 2017506332 A JP2017506332 A JP 2017506332A JP 2017506332 A JP2017506332 A JP 2017506332A JP 2017523615 A JP2017523615 A JP 2017523615A
Authority
JP
Japan
Prior art keywords
input variable
pattern
variable
function
target design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017506332A
Other languages
English (en)
Other versions
JP6462849B2 (ja
Inventor
サイーブ,モハメッド
スキアボーネ,パトリック
フィゲイロ,ティアゴ
Original Assignee
アセルタ ナノグラフィクス
アセルタ ナノグラフィクス
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アセルタ ナノグラフィクス, アセルタ ナノグラフィクス filed Critical アセルタ ナノグラフィクス
Publication of JP2017523615A publication Critical patent/JP2017523615A/ja
Application granted granted Critical
Publication of JP6462849B2 publication Critical patent/JP6462849B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31796Problems associated with lithography affecting resists

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electron Beam Exposure (AREA)

Abstract

本発明に従って、IC製造モデルが開示され、入力変数及び出力変数が、較正パターンセットを用いて測定される。モデルは、PSFを含むことも含まないこともできる。出力変数は、プリントされたパターンとターゲットパターン又はシミュレーションパターンとの間の寸法バイアスであってもよい。それはまた、実験適合閾値(threshold to meet experiment)(TTME)とすることができる。入力変数は、好ましくはズレ角及び畳み込み手順を含む変形関数と共にカーネル関数を用いるメトリックによって定義されてもよい。入力変数と出力変数との間の関数又は連合関係が定義される。好ましくは、この定義は、正規化ステップ及び補間ステップを含む。有利なことに、補間ステップは、クリギングタイプである。本発明は、IC製造、シミュレーション又は検査プロセスのはるかにより正確なモデリングを達成する。

Description

本発明は、特に、電子又は光リソグラフィの分野に適用される。本発明は、数あるプロセスの中で特に、マスク書き込み及び直接書き込みに適用される。本発明はまた、ナノインプリント、DSA(誘導自己組織化)、エッチング、CMP(化学機械研磨/平坦化)、アニーリング、ベーキング、測定など、半導体製造プロセスの他のステップに適用される。
マスク書き込み又は直接書き込みのプロセス中に、幾つかの要因が、エラーを誘発するように、且つ期待値パターンの忠実度の達成を妨げるように寄与する。これらの要因の幾つかは、電子散乱(前方及び後方)、レジスト拡散、レジストの厚さ、エッチング、フレア、かぶり、計測、加熱等である。分解能を改善し、且つこれらの現象の影響を低減するために、とりわけ、近接効果補正(PEC)、かぶり効果補正(FEC)、エッチング補償の幾つかの戦略がある。戦略は、各効果の影響の予測に基づき、その後、ドース及び/又はジオメトリ補償によるこれらに対する補正が続く。従って、補正の質は、現象を予測するために用いられるモデルの質に依存し、前記モデルは、或る製造プロセスから別の製造プロセスへと異なる。モデル及び補正の高い精度は、確かに得ることができるが、しかし高い計算コストがかかる。
2つのモデル、即ち、電子近接効果を予測する第1のモデル、及びレジストモデルと呼ばれることが多い、全ての他の効果を予測する第2のモデルへのモデルの分解を用いることが常識になった。
当該技術分野において、電子近接効果の予測は、レジストレベルにおいて空中像を与えるためにターゲット設計で畳み込まれる異なるタイプの(ガウス又は他の)1つ又は複数の点広がり関数(PSF)を用いて実行される。
レジストモデルのパラメータはまた、ターゲット設計の特徴から計算されなければならず、その結果、モデルは、設計における様々なパターン構成用の閾値を正確に表す。レジストモデルは、電子モデルの欠陥を補正すること、及び製造プロセスの他のステップ、特にレジスト現像と同様に露光プロセスの効果を表すことが同時にできるべきである。
特に、適切なレジストモデルを定義するために、複数のモデルが開示され用いられてきた。
− タイプIモデル:それらは、一定のエネルギ閾値によって特徴付けられ、一定のエネルギ閾値は、(ネガレジストの場合に)エネルギレベル、即ちその上ではレジストとビームの相互作用がパターンを明らかにするエネルギレベルを規定すると考えられる。
− タイプIIモデル:それらは、空中画像のローカル、半グローバル又はグローバル特性の多項式関数として、ターゲット設計の各サブパートの輪郭上で定義される可変バイアスと、上記で定義された一定エネルギ閾値の組み合わせによって特徴付けられる。これらのタイプIIモデルの例が、Dunn et alii,(2009)“Etch Aware Optical Proximity Correction:A First Step Toward Integrated Pattern Engineering”,Optical Microlithography XXII,proc.SPIE vol 7274;Q.Liu et alii(2010).“Study of Model based etch bias retarget for OPC”,Optical Microlithography XXII,proc SPIE vol 7640;J.−G.Park et alii(2011),‘The effective etch process proximity correction methodology for improving on chip CD variation in 20 nm node DRAM gate’,Design for Manufacturability though Design−Process Integration V,proc.SPIE vol 7974によって開示されている。これらのタイプIIのモデルにおいて、バイアスを計算するために考慮されるパラメータは、設計のサイズ、設計の部分間のスペース、又は設計の密度である。
タイプIIIモデル:これらのモデルは、タイプIIモデルと同じタイプのパラメータ及び関数を用い、可変エネルギ閾値に基づいて別の公式化に対応する。このタイプIIIモデルの開示は、Cobb,N.B.;Zakhor,A.;Reihani,M.;Jahansooz,F.& Raghavan,V.N.Experimental results on optical proximity correction with variable−threshold resist model Proc.SPIE,1997,3051,458−46で見つけることができる。タイプIモデルは、特に幾つかの重要なパターン用には十分に正確ではないと分かった。何故なら、一定のエネルギ閾値が、ターゲット面上の全ての物理的な作用を表すわけではないからである。
タイプII及びタイプIIIモデルは、事例の大半において働いていないと、出願人による実験的使用によって示された。これは、多項式表現が、全ての実験的挙動は含まない可能性があるからである。選択される変数は、設計パターンの幾つかの部分用には十分に正確に働くが、それらは、他の部分には働かない可能性があり、そこにおいて、それらは、物理的特性の表現にどんな改善ももたらさず、且つまたシナリオの悪化につながる可能性がある。また、電子効果及びプロセス効果を表すために、2つの異なるモデルの代わりに(かかる組み合わせは時間がかかる)1つのモデルを用いることが、幾つかの状況で面白い可能性がある。
Dunn et alii,(2009)"Etch Aware Optical Proximity Correction:A First Step Toward Integrated Pattern Engineering",Optical Microlithography XXII,proc.SPIE vol 7274 Q.Liu et alii(2010)."Study of Model based etch bias retarget for OPC",Optical Microlithography XXII,proc SPIE vol 7640 J.−G.Park et alii(2011),‘The effective etch process proximity correction methodology for improving on chip CD variation in 20 nm node DRAM gate’,Design for Manufacturability though Design−Process Integration V,proc.SPIE vol 7974 Cobb,N.B.;Zakhor,A.;Reihani,M.;Jahansooz,F.& Raghavan,V.N.Experimental results on optical proximity correction with variable−threshold resist model Proc.SPIE,1997,3051,458−46
本発明は、多項式としても(又はどんな所定の関数としても)アプリオリに定義されず、較正手順によって設計される単一のモデルを提供することによって、先行技術の前述の問題を克服する。提案されるモデルは、IC製造プロセス、特にリソグラフィ、レジスト、エッチング、ローディング等において行われる全ての電子的、物理的、機械的又は化学的現象を表すために用いられてもよい。
この趣旨で、本発明は、基板上にプリントされるターゲット設計によって画定された半導体集積回路を製造するためのプロセスモデルをコンピュータで決定するための方法であって、前記方法が、複数のパターンを含む較正セットを選択することと、前記複数のパターンの特徴における変動を表す少なくとも1つの入力変数を選択することと、複数のパターン上の多くのポイントにおいて少なくとも1つの入力変数の第1の一連の値を決定することによって、少なくとも1つの入力変数の一連の値を取得することと、多くのポイントにおいて、較正セットのパターンのプリントを表す出力変数の第2の一連の値の数を計算することと、少なくとも1つの入力変数の第1の一連の値と出力変数の第2の一連の値との間の関数及び連合関係の1つとしてプロセスモデルを決定することと、を含むことを特徴とする方法を開示する。
有利なことに、前記関係は、補間手順によってターゲット設計に延長される。
有利なことに、少なくとも1つの入力変数は、ターゲット設計におけるパターンのCD、スペース及び密度の1つを表す。
有利なことに、少なくとも1つの入力変数は、カーネル関数及び変形関数の合成をターゲット設計で畳み込むことによって決定され、前記変形関数は、選択されたズレ角を含む。
有利なことに、複数のパターン上の多くのポイントは、前記パターンのエッジに位置している。
出力変数が、較正セットにおけるターゲットパターンと実際のプリントされたパターンとの間の寸法バイアスである、請求項1〜5のいずれか一項に記載の方法。
有利なことに、出力変数は、較正セットにおける実際のプリントされたパターンと、較正セットにおけるターゲットパターンとPSFの畳み込みによって得られたシミュレーション設計の空中画像との間の寸法バイアスである。
有利なことに、出力変数は、パターンの空中画像を較正セットに生成するために、PSFによって定義される受け取りドースを表す実験適合閾値(threshold to meet experiment)(TTME)を表す。
有利なことに、TTMEは、露光後及びエッチング後の1つにおいて決定される。
有利なことに、少なくとも1つの入力変数の同じ値用に得られる出力変数の一連の値は、加重平均手順によってマージされる。
有利なことに、補間手順は、クリギング手順である。
有利なことに、クリギング手順は、ターゲット設計に適用される補正の耐性関数として定義されるステップを有する。
本発明は、基板上にプリントされるターゲット設計によって画定される半導体集積回路を製造するためのプロセスモデルを用いる方法であって、前記方法が、ターゲット設計、レジスト及び基板の少なくとも1つを特徴づける特徴リストに基づく候補プロセスモデルリストにおけるプロセスモデルをコンピュータメモリにおいて選択することと、少なくとも1つの入力変数の値と選択されたプロセスモデルを特徴づける出力変数の値との間の関数及び連合関係の1つをコンピュータメモリから検索することと、ターゲット設計上の位置における少なくとも1つの入力変数の値を計算することと、位置における少なくとも1つの入力変数用の出力変数の値をモデルから得ることと、IC製造プロセスの補正ステップ、シミュレーションステップ及び検査ステップの1つにおける使用のために、位置における出力変数の値を格納することと、を含むことを特徴とする方法を更に開示する。
本発明は、ターゲット設計によって画定される半導体集積回路を製造するためにプロセスモデルを決定するためのコンピュータプログラムであって、前記コンピュータプログラムが、i)複数のパターンを含む較正セットを選択することと、ii)前記複数のパターンの特徴における変動を表す少なくとも1つの入力変数を選択することと、iii)複数のパターン上の多くのポイントにおいて少なくとも1つの入力変数を決定することによって、少なくとも1つの入力変数の第1の一連の値を取得することと、のための1つ又は複数のインターフェースと、較正セットのパターンのプリントを表す出力変数の一連の値を多くのポイントにおいて計算するように構成されたコンピュータコードと、少なくとも1つの入力変数及び出力変数の一連の値間の関数及び連合関係の1つとしてのプロセスモデルを決定するように構成されたコンピュータコードと、を含むことを特徴とするコンピュータプログラムを更に開示する。
本発明は、基板上にプリントされるターゲット設計によって画定される半導体集積回路を製造するためのプロセスモデルを用いるためのコンピュータプログラムであって、前記コンピュータプログラムが、ターゲット設計、レジスト及び基板の少なくとも1つを特徴づける特徴リストに基づく候補プロセスモデルリストにおけるプロセスモデルをコンピュータメモリにおいて選択することと、少なくとも1つの入力変数の値と選択されたプロセスモデルを特徴づける出力変数の値との間の関数及び連合関係の1つをコンピュータメモリから検索することと、のための1つ又は複数のインターフェースと、ターゲット設計上の位置における少なくとも1つの入力変数の値を計算するように、位置における少なくとも1つの入力変数用の出力変数の値をモデルから得るように、且つIC製造プロセスの補正ステップ、シミュレーションステップ及び検査ステップの1つにおける使用のために位置における出力変数の値を格納するように構成されたコンピュータコードと、を含むことを特徴とするコンピュータプログラムを更に開示する。
本発明は、本発明に従ってコンピュータプログラムの少なくとも1つの出力を用いるように構成された半導体製造装置であって、半導体ウエハ上への直接書き込み、マスクプレートへの書き込み、エッチング、化学的若しくは機械的平坦化、又はベーキング、半導体ウエハのアニーリング、及びマスク若しくは半導体表面の検査の1つのために構成された半導体製造装置を更に開示する。
本発明の別の利点は、それが、製造プロセスの多項式フィット(線形又は二次方程式)に限定されないということである。また、本発明による較正プロセスは、設計パターンの全表面にわたってその変形をより正確に表すために、補間/外挿プロセスによって補足することができる。特に、カーネル関数への入力と異なるメトリック又はプロセス応答の利用は、ドース又はジオメトリ領域において、異なるタイプの多数のパラメータをプロセスモデルに含むことを可能にし、それは、物理的実体のはるかに優れた表現をもたらす。
様々な実施形態及び以下の添付の図から、本発明は、よりよく理解され、その様々な特徴及び利点が、明らかになろう。
レジストを備えた基板に向けられた粒子の後方散乱効果を表す。 レジストを備えた基板に向けられた粒子の後方散乱効果を表す。 本発明の多くの実施形態において、ターゲット/シミュレーションパターンと測定パターンとの間のバイアス、及び測定パターン及び実験適合閾値(TTME)との間の関係をそれぞれ示す。 本発明の多くの実施形態において、ターゲット/シミュレーションパターンと測定パターンとの間のバイアス、及び測定パターン及び実験適合閾値(TTME)との間の関係をそれぞれ示す。 本発明の多くの実施形態に従って、プロセスモデルを構築するプロセスの変形の流れ図を表す。 本発明の多くの実施形態に従って、プロセスモデルを構築するプロセスの変形の流れ図を表す。 本発明の多くの実施形態に従って、プロセスモデルを構築するプロセスの変形の流れ図を表す。 本発明の多くの実施形態において、プロセスモデルの変数並びにTTME及びバイアスのそれぞれの対応値を備えた表を表す。 本発明の多くの実施形態において、プロセスモデルの変数並びにTTME及びバイアスのそれぞれの対応値を備えた表を表す。 本発明の多くの実施形態に従って、プロセスモデルにおいて用いられるメトリックの例を示す。 本発明の多くの実施形態に従って、プロセスモデルにおいて用いられるメトリックの例を示す。 本発明の多くの実施形態に従って、プロセスモデルにおいて用いられるメトリックの例を示す。
図1a及び1bは、レジストを備えた基板に向けられた粒子の後方散乱効果を表す。
図1aは、ターゲット110(次に、ライン120によって境界を定められた基板112におけるレジスト層111)におけるビーム電子の軌道の垂直断面積を示す。モンテカロルシミュレーションによるモデリングによく向いている軌道のランダム性が観察され得る。しかしながら、この種のシミュレーションは、それが、パラメータ化された関数又は表化された関数を用いた直接計算を可能にできないので、製造において用いることが困難である。
図1bにおいて、ターゲット110と電子ビーム100の相互作用に起因する2つの散乱効果がモデル化された。20kV及び50kVの加速電圧に対応する2つの散乱雲130、140が表されている。前方散乱は、矢印150によって表され、後方散乱は、矢印160によって表されている。分かるように、加速電圧が高ければ高いほど、雲はより深いが、この雲の開口は、より小さい。電圧が高い場合に、後方散乱電子は、ビームの中心の着弾点からより大きい距離でターゲットの表面に射出される。
電子近接効果は、特にターゲットの材料及びそのジオメトリに依存する。従って、この電子衝撃を実行したい理由が何であれ(エッチング、撮像又は解析)、所望の目的に忠実な結果を得るために、近接効果を考慮することが必要である。これは、他の物理的又は化学的効果のモデリングとは別個の特定のモデリングの対象である。
そのために、いわゆる散乱又は点広がり関数(PSF)を用いることが周知の実践であり、ターゲットのジオメトリとPSFの畳み込みが実行される。一般に用いられるPSFは、ガウス分布、前方散乱をモデル化する第1のガウス分布(前方散乱のPSF)、及び後方散乱をモデル化する1つ又は複数の追加のガウス分布(後方散乱のPSF)の組み合わせである。
従って、PSF式は、以下の表記法で、次の形式の関数f(x,y)によって従来通りに表される。
Figure 2017523615
・ αは、直接放射の幅である。
・ βは、後方散乱の幅である。
・ ηは、直接放射及び後方散乱放射の強度の比率である。
・ ξは、ポイントの半径方向位置である。
パラメータα、β及びηの値は、所与のプロセス用に試行錯誤によって決定することができる。これらのパラメータは、マシンの加速電圧及びターゲットの関数である。典型的には、50KV程度の加速電圧及びシリコン又はガラスターゲット(SiO)に対して、αは、30nm程度、βは、10μm程度、ηは、0.5程度である。
しかしながら、このモデルの効率は、特に、後方散乱によって支配される遠隔効果用には良好ではない。
PSFアプローチへの幾つかの改善が、特に欧州特許出願公開第2560187号明細書において提案され実行された。その特許出願公開は、本出願の出願人に共同譲渡され、そこでは、ビームの中心から外れるガウス関数が、後方散乱効果を表すために用いられる。
しかし、モデリングアプローチの目標は、実際の製造プロセスを表し得ることであり、重要なことは、全ての製造ステップの実際の出力である。即ち、設計パターンで畳み込まれたPSFによって近似された空中画像だけでなく、直接書き込みの場合におけるマスク又はウエハの実際のプリントである。従って、本発明の幾つかの実施形態において、発明者らは、2つのモデル、即ちPSFモデル及びレジストモデルにプロセスのモデリングを分割する従来のアプローチを回避した。この場合に、特定のパターンセットの測定に基づいたモデル較正は、ジョイントモデルの十分なパラメータ化を可能にする。他の幾つかの実施形態において、PSFは、用途の関数として(例えば、ターゲット設計が挿入されることになる材料の関数として)選択され、本発明によるプロセスモデルは、IC半導体製造プロセスの他の空間的、物理的、機械的及び化学的効果をパラメータ化するために用いられる。
図2a及び2bは、本発明の多くの実施形態において、ターゲット/シミュレーションパターンと測定パターンとの間のバイアス、及び測定パターンと実験適合閾値(TTME)との間の関係をそれぞれ示す。
本発明の様々な実施形態によれば、複数のパターンを含む較正セットが選択される。較正セットにおけるパターンは、サイズ、スペース及び密度の点で十分に多様であるべきである。較正セットは、できるだけ多くの構成におけるプロセスのシグネチャを捕捉するために用いられる。モデルの精度は、較正セットにおけるパターンの数及び代表性に大きく依存する。実験的に、出願人は、数百のパターンが、優れた精度をもたらすと判断した。典型的には、800パターンが使用され得、それは、業界標準によって許容可能である。しかし、本発明はまた、より少数のパターンを含む較正セットで実施することができる。この場合に、プロセスシグネチャを表すモデルの精度は、より低くなる。反対に、より多くのパターンを含む較正セットを用いることができる。精度は、より高くなるが、しかし較正作業量は、一層著しくなり、多くの用途においてとても許容可能ではない。
図2a及び2bは、本発明に従って較正手順中に実行される測定及び計算を概略的に示す。測定結果は、製造プロセスの様々なステップにおいて、即ち絶縁後、エッチング後、ローディング後等に取得することができる。
マスク又はウエハが、基板220aと共に図2a及び2bに表されている。露光パターン230bが、表面上に投じられ、且つ実際のパターン240aを生成する。
図2aに示されている本発明の第1の実施形態によれば、一定のプロセスにおいて、パターンの実際のプリント240aとターゲット又はシミュレーションパターン230aとの間の差250aを測定することができる。ターゲットと露光との間のこの差又はデルタは、バイアスと呼ばれることが多い。この実施形態において、モデルは、図3aに関連する説明において以下で更に論じられるようなフルプロセスモデルとして、又は図3bに関連する説明において以下で更に論じられるような、PSFと共に用いられるレジストモデルとしてのみ較正することができる。第1の場合において、バイアスは、ターゲットパターンに関連して計算される。第2の場合において、それは、PSFを用いてシミュレートされるパターンに関連して計算される。
図2bに示されている本発明の第2の実施形態によれば、PSFモデルから取られたドース曲線240bと、測定されたプリント240aとの相互作用に対応するドース(又はエネルギ)レベル250bである実験適合閾値又はTTMEを計算することができる。従って、このモデリング方法は、レジストモデルとして、PSFモデルと組み合わせてのみ用いることができる。
図3a、3b及び3cは、本発明の多くの実施形態に従ってプロセスモデルを構築するための、プロセスの変形の流れ図を表す。
既に論じたように、本発明によるプロセスモデルは、電子ビームリソグラフィ、エッチング、現像等の使用の全ての効果をモデル化するために、又は電子近接効果をモデル化するPSFと組み合わせて用いられるレジストモデルとしてのみ用いることができる。
図3aは、全ての効果が一緒にモデル化される第1のクラスの実施形態に従って本発明を実施するステップの流れ図を示す。
既に上記で論じたように、較正パターンセットが、ステップ310aで選択される。較正パターンセットにおいてプロセスのシグネチャを識別する適切なメトリックがまた、ステップ320aで選択される。適切なメトリックの選択は、本発明の目的ではない。本発明を実施するために有利な特定のメトリックが、本出願の出願人によって譲渡された欧州特許出願第14305834.5号明細書に開示されている。これらのメトリックの詳細は、図5a、5b及び5cに関連して以下で論じられる。可視カーネルに基づいたものなど、他のタイプのメトリックが、本発明の範囲から逸脱せずに使用可能である。可視カーネルは、例えば、Sato(S.Sato,K.Ozawa,and F.Uesawa,“Dry−etch proximity function for model−based OPC beyond 65−nm node”,proc.SPIE vol 6155,2006)又はPark(J.−G.Park,S.−W.Kim,S.−B.Shim,S.−S.Suh,and H.−K.Oh,‘The effective etch process proximity correction methodology for improving on chip CD variation in 20 nm node DRAM gate’,Design for Manufacturability though Design−Process Integration V,proc.SPIE vol 7974,2011)によって開示されている。また、米国特許出願公開第2010/269084号明細書及び同第2011/138343号明細書は、半導体設計を走査するための可視カーネルを開示する。
メトリックは、モデルの入力変数として用いられる多くの変数を有するベクトルによって表すことができる。例えば、CD(即ち、パターンの限界又は特性寸法であり、それは、ラインを含むパターンにおいてはラインの幅である)、スペース(ラインを含むパターンにおいて、ライン間の距離である)、又は密度であり、それは、CD及びスペースの合成物であり、様々な方法を用いて、例えば走査半径に沿った関心点からの積分又は畳み込みによって計算することができる。
プロセスのシグネチャにおける変動を正確に説明できるように、十分な入力変数を用いることが有利である。しかし、多すぎる入力変数をメトリックに含むことは、実行時間をより長くする。
ステップ330aにおいて、入力変数は、(上記で論じられたように)選択された較正点で測定される。ステップ340aにおいて、図2aに関連して上記で説明されたように、露光パターンとターゲットパターンとの間の差(又はバイアス)の計算が、同じポイントで実行される。次に、データ正規化手順が、ステップ350aで実行される。同じ入力ベクトルに対応するバイアスの値が平均される。
ステップ370aにおいて、入力ベクトルの補間値用のバイアスの補間値が、ステップ360aで選択された補間方法を用いて計算される。様々な補間方法が、このステップを実行するために用いられ得る。例えば、クリギング補間手順が用いられてもよい。クリギング手順は、http://en.wikipedia.org/wiki/Krigingで説明されている。クリギング又はガウスプロセス回帰は、当てはめ値の平滑さを最適化するために選択された区分的多項式スプラインとは対照的に、事前共分散により支配されるガウスプロセスによって補間値がモデル化される補間方法である。前述のことに対する適切な仮定の下で、クリギングは、中間値の最適な線形非バイアス予測を提供する。平滑さなどの他の基準に基づいた補間方法は、たいがい中間値を生成する必要はない。技術もまた、コルモゴロフウィーナー予測として知られている。
モデルを用いるために、ステップ380aにおいて、我々は、入力変数と出力変数との間の関数関係(バイアス又はTTME)を構築することができる。関数関係は、当業者が行えるように、例えば、入力変数と出力変数との間の相関関数を用いることによって構築することができる。我々は、入力変数及び平均出力変数の補間値が入力される表を代替として用いることができる。たとえ関数関係が構築されても、計算資源の利用の点で、関数関係によって得られた値を表に変換することがより効率的であり、それは、モデルを用いる場合に瞬間的に利用することが可能である。
モデルの有効領域が、較正ステップが実行された領域によって定義されることが注目される。
図3bの流れ図に示されている本発明の変形において、較正及び計算ステップに先立ってPSFの選択のステップが実行される。PSFは、図1a及び1bに関連して説明したタイプとすることができる。それは、電子リソグラフィの場合に、前方散乱及び後方散乱効果を表すパラメータα及びβを備えた、中心ガウス関数の組み合わせとすることができる。後方散乱効果を表すガウス関数はまた、上記で引用した欧州特許出願公開第2560187号明細書によって開示されているように、ビームの中心に対して偏心させることができる。本出願の出願人と同じ出願人に譲渡された欧州特許出願公開第2650902号明細書によって開示されている関数など、異なるタイプの関数もまた用いることができ、そこでは、フォークト型の拡散関数が用いられる。また、欧州特許出願第2756518号明細書に開示されているアプローチなど、電子近接効果の他のモデリングアプローチを用いることができ、そこでは、デコンボリューション関数用の確率的アプローチが適用される。光リソグラフィの場合に、それは、コヒーレント光源又は他の類似のモデルの和とすることができる。
次に、本発明のこの変形において、ステップ340aは、ステップ340bによって取り替えられ、そこでは、絶縁パターンとターゲットパターンとの間の差としてバイアスを計算する代わりに、バイアスは、絶縁パターンと、選択されたPSFをターゲット設計パターンで畳み込むことによって得られるシミュレーションパターンとの間の差として計算される。バイアスの計算は、ターゲットパターンがシミュレーションパターンによって取り替えられること以外は、図3aに関連して説明したものと同じタイプである。
次に、他のステップは、図3aの変形で説明されているように実行される。
図3cの流れ図に示されている別の変形において、PSFの選択ステップ310cがまた存在するが、しかしステップ340bのバイアスの計算は、図2bに表されたタイプの実験適合閾値(TTME)の計算のステップ340cによって取り替えられるが、それは、この図2bに関連する上記の説明の一部でされた。バイアスの使用が、ジオメトリ補正を意味するのに対して、TTMEの使用は、ドース補正に一層適合される。
他のステップは、図3a及び3bの変形において同様に実行される。
図4a及び4bは、本発明の多くの実施形態において、プロセスモデルの変数並びにTTME及びバイアスのそれぞれの対応値を備えた表を表す。
図4a及び4bの表は、本発明の方法の2つの異なる実装形態の実例としてのみ提供される。それらは、特許請求の範囲を決して限定するものではなく、それらは、異なる数の入力変数及び異なる値を備えた、同じタイプの他の結果に当てはまる。既に説明したように、バイアス用及びTTME用に異なる使用事例がある。
図5a、5b及び5cは、本発明の多くの実施形態従って、プロセスモデルにおいて用いられるメトリックの例を示す。
これらの図に示されているメトリックは、本出願の出願人に共同譲渡された欧州特許出願第14305834.5号明細書によって開示されるタイプである。
図5aは、半径R及び変形角度θを有する異方性の関心領域510aを示す。
図5bは、関心点520bからの計算下の領域510aとターゲットパターンセット540bとの交差530bを示す。この場合に、本発明によれば、たとえ幾つかの領域が関心点から見えなくても、計算は、計算下の全領域に及ぶ。計算の結果は、以下で外部密度を定義するために説明されるように用いられる。
図5cは、関心点520bから角度φ=πだけ回転された計算下の領域510aとターゲットパターンセット540bとの交差530cを示す。この場合に、本発明によれば、たとえ幾つかの領域が関心点から見えなくても、計算は、計算下の全領域に及ぶ。計算の結果は、以下で外部密度を定義するために説明されるように用いられる。
外部密度及び内部密度は、全ターゲット設計にわたってによって示された畳み込みとして計算される。各関心点(x,y)用に、
V(x,y)=L(x,y)N(x,y)
として、関数L(x,y)によって表されるターゲット設計の畳み込みV(x,y)と志向性カーネルN(x,y)との積を計算する。
畳み込み積の計算は、
Figure 2017523615
によって与えられる。
この式で、志向性カーネルN(u,v)は、2つの寄与に分割することができる。
Figure 2017523615
この式で、
Figure 2017523615
はガウスカーネル関数であり、f(a tan(v/u)+φ)は、変形関数である。
φ角度は、設計上で位置(x,y)に従って変化する変形関数のズレ角である。
φ角度は、軸、即ち、それに対してベース変形関数がその最大値に達する軸を基準として有する。ズレ角は、範囲[0,2π]において変化してもよい。φ角度が、位置(x,y)用にただ1つの可能な値を有することに留意されたい。従って、それは、各V(x,y)計算用の定数パラメータと考えることができる。
便宜上、以下の説明部分において、N(u,v)関数は、極座標において、
N(r,θ)=K(r)・f(θ+φ)
として書かれる。
この式で、半径は、
Figure 2017523615
であり、θ=a tan(v/u)である。
外部密度の計算の場合に、f(θ+φ)は、カーネルが、パターンの外側に向けられるように構成される。実際に、φが、φ+πによって取り替えられる場合に、内部密度は、更なる計算コストなしに、外部密度と並行して計算することができる。
同様に、内部密度の計算の場合に、f(θ+φ)は、カーネルが、設計の内側に向けられるように構成される。実際に、φが、φ+πによって取り替えられる場合に、外部密度は、更なる計算コストなしに、内部密度と並行して計算することができる。
ズレ角φは、設計パターン上で関心点から垂直軸への画角として選択され、それは、推定メトリック(スペース又はCD)に対する畳み込み積の感度を最大限にする。マンハッタンパターン設計(即ち垂直線を備えた)の場合に、適切なズレ角は、関心点が、垂直線の右(左)エッジにあり、且つ観察が外側又は内側のそれぞれに対してである場合に、π/2(3π/2)又は3π/2(π/2)である。関心点が、マンハッタンパターン設計の水平線の底部(上端)エッジにある場合に、適切なズレ角は、観察が外側又は内側のそれぞれに対してである場合に、π(ゼロ)又はゼロ(π)である。
より一般的に、好ましい規則は、変形関数のズレ角φが、パターン設計(例えば、マンハッタン又は自由形式)の領域にとって最適であるように選択されることである。エッジへの法線が定義され得る(即ち、エッジへのタンジェントが連続的である)領域において、パターン設計のエッジに沿って関心点を移動させる場合に、φは、基準方向へのエッジへの法線の角度として定義される。
好ましい実施形態において、不連続点でズレ角は、不連続点の2つのセグメントの外角の二等分線と基準の方向との間の角度として定義することができる。
本発明の方法は、半導体ICの製造プロセスにおける多くの使用事例において用いられ得る。より具体的には、それは、点広がり関数(PSF)と設計との間の畳み込みが計算される第1のステップ及びレジスト閾値が考慮される第2のステップに分解されるシミュレーションを通して近接効果補正が計算される方法に取って代わる或る種の電子ビームリソグラフィプロセスによく適している。
任意のタイプのプロセスシグネチャに適合させることができる本発明のプロセスモデルのより正確な全体的又は部分的(即ち、PSFを含むか又は含まない)プロセスは、非常に効率的である。これは、特に、エッチング後且つ/又は極紫外線(EUV)マスクが用いられる場合に、電子ビームリソグラフィプロセスをモデル化する場合である。これは、極UVが、著しい後方散乱効果を生成する重金属層(タンタル又は窒化タンタル)によってカバーされるからである。
これはまた、常に、プロセスのより正確なモデルが、直接書き込み、シミュレーション又は検査用途に必要とされる場合である。
本発明の方法は、例えば、この説明で開示されるステップを実行するように構成されたコンピュータコード命令を組み込むInscaleTMソフトウェアを備えた機器又は装置を制御することによって、任意のタイプのリソグラフィ機器、シミュレーション又は検査装置で実行することができる。
この明細書において開示された例は、本発明の幾つかの実施形態の単に実例である。それらは、添付の特許請求の範囲によって定義される前記発明の範囲を決して限定しない。

Claims (15)

  1. 基板上に印刷されるターゲット設計によって画定された半導体集積回路を製造するためのプロセスモデルをコンピュータで決定するための方法であって、前記方法が、
    − 複数のパターンを含む較正セットを選択することと、
    − 前記複数のパターンの特徴における変動を表す少なくとも1つの入力変数を選択することと、
    − 前記複数のパターン上の多くのポイントにおいて少なくとも1つの入力変数の第1の一連の値を決定することによって、少なくとも1つの入力変数の一連の値を取得することと、
    − 前記多くのポイントにおいて、前記較正セットのパターンのプリントを表す出力変数の第2の一連の値の数を計算することと、
    − 前記少なくとも1つの入力変数の前記第1の一連の値と前記出力変数の前記第2の一連の値との間の関数及び連合関係の1つとして前記プロセスモデルを決定することと、
    を含み、
    前記少なくとも1つの入力変数が、カーネル関数及び変形関数の合成を前記ターゲット設計で畳み込むことによって決定され、前記変形関数が、選択されたズレ角を含むことを特徴とする方法。
  2. 前記関係が、補間手順によって前記ターゲット設計に延長される、請求項1に記載の方法。
  3. 前記少なくとも1つの入力変数が、前記ターゲット設計におけるパターンのCD、スペース及び密度の1つを表す、請求項1又は2に記載の方法。
  4. 前記複数のパターン上の前記多くのポイントが、前記パターンのエッジに位置している、請求項1〜3のいずれか一項に記載の方法。
  5. 前記出力変数が、前記較正セットにおけるターゲットパターンと前記実際のプリントされたパターンとの間の寸法バイアスである、請求項1〜4のいずれか一項に記載の方法。
  6. 前記出力変数が、前記較正セットにおける前記実際のプリントされたパターンと、前記較正セットにおける前記ターゲットパターンとPSFとの畳み込みによって得られたシミュレーション設計の空中画像との間の寸法バイアスである、請求項1〜4のいずれか一項に記載の方法。
  7. 前記出力変数が、前記較正セットにおけるパターンの空中画像を生成するために、PSFによって定義される受け取りドースを表す実験適合閾値(TTME)である、請求項1〜4のいずれか一項に記載の方法。
  8. 前記TTMEが、露光後及びエッチング後の1つで決定される、請求項7に記載の方法。
  9. 前記少なくとも1つの入力変数の同じ値用に得られる前記出力変数の一連の値が、加重平均手順によってマージされる、請求項1〜8のいずれか一項に記載の方法。
  10. 前記補間手順が、クリギング手順である、請求項2〜9のいずれか一項に記載の方法。
  11. 前記クリギング手順が、前記ターゲット設計に適用される補正の耐性関数として定義されるステップを有する、請求項10に記載の方法。
  12. 基板上にプリントされるターゲット設計によって画定される半導体集積回路を製造するためのプロセスモデルを用いる方法であって、前記方法が、
    − 前記ターゲット設計、前記レジスト及び前記基板の少なくとも1つを特徴づける特徴リストに基づく候補プロセスモデルリストにおけるプロセスモデルをコンピュータメモリにおいて選択することと、
    − 少なくとも1つの入力変数の値と前記選択されたプロセスモデルを特徴づける出力変数の値との間の関数及び連合関係の1つを前記コンピュータメモリから検索することと、
    − 前記ターゲット設計上の位置における前記少なくとも1つの入力変数の値を計算することと、
    − 前記位置における前記少なくとも1つの入力変数用の前記出力変数の値を前記モデルから得ることと、
    − 前記IC製造プロセスの補正ステップ、シミュレーションステップ及び検査ステップの1つにおける使用のために、前記位置における前記出力変数の前記値を格納することと、
    を含み、
    前記少なくとも1つの入力変数が、カーネル関数及び変形関数の合成を前記ターゲット設計で畳み込むことによって決定され、前記変形関数が、選択されたズレ角を含むことを特徴とする方法。
  13. ターゲット設計によって画定される半導体集積回路を製造するためのプロセスモデルを決定するためのコンピュータプログラムであって、前記コンピュータプログラムが、
    − ・ 複数のパターンを含む較正セットを選択することと、
    ・ 前記複数のパターンの特徴における変動を表す少なくとも1つの入力変数を選択することと、
    ・ 前記複数のパターン上の多くのポイントにおいて前記少なくとも1つの入力変数を決定することによって、前記少なくとも1つの入力変数の第1の一連の値を取得することと、
    のための1つ又は複数のインターフェースと、
    − 前記較正セットのパターンのプリントを表す出力変数の一連の値を前記多くのポイントで計算するように構成された前記コンピュータコードと、
    − 前記少なくとも1つの入力変数及び出力変数の一連の値間の関数及び連合関係の1つとして前記プロセスモデルを決定するように構成された前記コンピュータコードと、
    を含み、
    前記少なくとも1つの入力変数が、カーネル関数及び変形関数の合成を前記ターゲット設計で畳み込むことによって決定され、前記変形関数が、選択されたズレ角を含むことを特徴とするコンピュータプログラム。
  14. 基板上にプリントされるターゲット設計によって画定される半導体集積回路を製造するためのプロセスモデルを用いるためのコンピュータプログラムであって、前記コンピュータプログラムが、
    − ・ 前記ターゲット設計、前記レジスト及び前記基板の少なくとも1つを特徴づける特徴リストに基づく候補プロセスモデルリストにおけるプロセスモデルをコンピュータメモリにおいて選択することと、
    ・ 少なくとも1つの入力変数の値と前記選択されたプロセスモデルを特徴づける出力変数の値との間の関数及び連合関係の1つを前記コンピュータメモリから検索することと、
    のための1つ又は複数のインターフェースと、
    − ・ 前記ターゲット設計上の位置における前記少なくとも1つの入力変数の値を計算するように、
    ・ 前記位置における前記少なくとも1つの入力変数用の前記出力変数の前記値を前記モデルから得るように、
    ・ 前記IC製造プロセスの補正ステップ、シミュレーションステップ及び検査ステップの1つにおける使用のために、前記位置における前記出力変数の前記値を格納するように、
    構成されたコンピュータコードと、
    を含み、
    前記少なくとも1つの入力変数が、カーネル関数及び変形関数の合成を前記ターゲット設計で畳み込むことによって決定され、前記変形関数が、選択されたズレ角を含むことを特徴とするコンピュータプログラム。
  15. 請求項13又は14に記載のコンピュータプログラムの少なくとも1つの出力を用いるように構成された半導体製造装置であって、半導体ウエハ上への直接書き込み、マスクプレートへの書き込み、エッチング、化学的若しくは機械的平坦化、又はベーキング、半導体ウエハのアニーリング、及びマスク若しくは半導体表面の検査の1つのために構成された半導体製造装置。
JP2017506332A 2014-08-05 2015-07-30 Ic製造プロセスモデルのパラメータを決定するための方法 Active JP6462849B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14306241.2 2014-08-05
EP14306241.2A EP2983193B1 (en) 2014-08-05 2014-08-05 Method for determining the parameters of an ic manufacturing process model
PCT/EP2015/067535 WO2016020264A1 (en) 2014-08-05 2015-07-30 Method for determining the parameters of an ic manufacturing process model

Publications (2)

Publication Number Publication Date
JP2017523615A true JP2017523615A (ja) 2017-08-17
JP6462849B2 JP6462849B2 (ja) 2019-02-06

Family

ID=51352479

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017506332A Active JP6462849B2 (ja) 2014-08-05 2015-07-30 Ic製造プロセスモデルのパラメータを決定するための方法

Country Status (6)

Country Link
US (1) US10295912B2 (ja)
EP (1) EP2983193B1 (ja)
JP (1) JP6462849B2 (ja)
KR (1) KR101947290B1 (ja)
TW (1) TWI596423B (ja)
WO (1) WO2016020264A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017203879B4 (de) 2017-03-09 2023-06-07 Carl Zeiss Smt Gmbh Verfahren zum Analysieren einer defekten Stelle einer photolithographischen Maske
TWI644190B (zh) * 2017-06-29 2018-12-11 台灣積體電路製造股份有限公司 製程系統與製程方法
US11080458B2 (en) 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography simulation method
KR20220074927A (ko) * 2019-10-31 2022-06-03 칼 짜이스 에스엠테 게엠베하 고형상비 구조의 형상 편차를 측정하기 위한 fib-sem 3d 단층 촬영

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002311563A (ja) * 2001-04-17 2002-10-23 Sony Corp 補正テーブルの作成装置及び作成方法、補正テーブル用マスクパターンの作成装置及び作成方法、微細加工用マスクパターンの作成装置及び作成方法、並びに微細加工パターンの形成方法
JP2004077837A (ja) * 2002-08-19 2004-03-11 Sony Corp 設計パターンの補正方法
JP2007102207A (ja) * 2005-09-08 2007-04-19 Takumi Technology Corp 複雑度低減のためのルールベース光学近接効果補正における可変バイアス・ルールの作成および適用
JP2009259992A (ja) * 2008-04-16 2009-11-05 Dainippon Printing Co Ltd 電子線描画用パターンデータの作成方法及びそれに用いる近接効果補正方法、そのデータを用いたパターン形成方法
JP2012023279A (ja) * 2010-07-16 2012-02-02 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US20120221982A1 (en) * 2011-02-28 2012-08-30 Jeong Moon-Gyu Method of forming layout of photomask
US20130219349A1 (en) * 2012-02-22 2013-08-22 Samsung Electronics Co., Ltd. Method for process proximity correction
JP2013222968A (ja) * 2012-04-12 2013-10-28 Aselta Nanographics フォークト型散乱関数を使用した電子近接効果の校正方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7207029B2 (en) * 2004-09-29 2007-04-17 Synopsys, Inc. Calculating etch proximity-correction using image-precision techniques
US7458059B2 (en) * 2005-10-31 2008-11-25 Synopsys, Inc. Model of sensitivity of a simulated layout to a change in original layout, and use of model in proximity correction
US8072589B2 (en) * 2007-01-18 2011-12-06 Dcg Systems, Inc. System and method for photoemission-based defect detection
WO2009099642A2 (en) * 2008-02-05 2009-08-13 The Board Of Trustees Of The Leland Stanford Junior University Proteomic analysis of active multiple sclerosis lesions
US20100269084A1 (en) 2008-11-24 2010-10-21 Yuri Granik Visibility and Transport Kernels for Variable Etch Bias Modeling of Optical Lithography
JP6070963B2 (ja) * 2011-03-22 2017-02-01 ザ・ジョンズ・ホプキンス・ユニバーシティー 磁気共鳴スペクトロスコピーイメージングを行うシステムおよび方法
TWI575392B (zh) * 2011-07-20 2017-03-21 台灣積體電路製造股份有限公司 參數化模型的建立方法及系統
FR2979165B1 (fr) 2011-08-16 2014-05-16 Commissariat Energie Atomique Procede de correction des effets de proximite electronique utilisant des fonctions de diffusion decentrees
KR102009536B1 (ko) 2011-09-13 2019-08-09 꼼미사리아 아 레네르지 아토미끄 에뜨 옥스 에너지스 앨터네이티브즈 확률론적 방법에 의해 노광될 패턴에 대하여 디콘볼루션을 사용하여 전자 근접 효과를 보정 하기 위한 방법
EP2952963B1 (en) 2014-06-03 2020-12-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for calculating the metrics of an ic manufacturing process
US20170116462A1 (en) * 2015-10-22 2017-04-27 Canon Kabushiki Kaisha Measurement apparatus and method, program, article manufacturing method, calibration mark member, processing apparatus, and processing system

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002311563A (ja) * 2001-04-17 2002-10-23 Sony Corp 補正テーブルの作成装置及び作成方法、補正テーブル用マスクパターンの作成装置及び作成方法、微細加工用マスクパターンの作成装置及び作成方法、並びに微細加工パターンの形成方法
JP2004077837A (ja) * 2002-08-19 2004-03-11 Sony Corp 設計パターンの補正方法
JP2007102207A (ja) * 2005-09-08 2007-04-19 Takumi Technology Corp 複雑度低減のためのルールベース光学近接効果補正における可変バイアス・ルールの作成および適用
JP2009259992A (ja) * 2008-04-16 2009-11-05 Dainippon Printing Co Ltd 電子線描画用パターンデータの作成方法及びそれに用いる近接効果補正方法、そのデータを用いたパターン形成方法
JP2012023279A (ja) * 2010-07-16 2012-02-02 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US20120221982A1 (en) * 2011-02-28 2012-08-30 Jeong Moon-Gyu Method of forming layout of photomask
US20130219349A1 (en) * 2012-02-22 2013-08-22 Samsung Electronics Co., Ltd. Method for process proximity correction
JP2013222968A (ja) * 2012-04-12 2013-10-28 Aselta Nanographics フォークト型散乱関数を使用した電子近接効果の校正方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CHRIS MACK, FUNDAMENTAL PRINCIPALS OF OPTICAL LITHOGRAPHY:THE SIENCE OF MICRROFABRICATION, JPN7018000992, 1 January 2007 (2007-01-01), GB, pages 422-425 *
OTTO O W ET AL: "Automated optical proximity correction a rule-based approach", PROCEEDINGS OF SPIE,, vol. 2197, JPN7018000991, 2 March 1994 (1994-03-02), US, pages 278-293 *

Also Published As

Publication number Publication date
EP2983193B1 (en) 2021-10-20
US20170168401A1 (en) 2017-06-15
EP2983193A1 (en) 2016-02-10
KR20170044096A (ko) 2017-04-24
TWI596423B (zh) 2017-08-21
KR101947290B1 (ko) 2019-02-12
US10295912B2 (en) 2019-05-21
TW201619690A (zh) 2016-06-01
WO2016020264A1 (en) 2016-02-11
JP6462849B2 (ja) 2019-02-06

Similar Documents

Publication Publication Date Title
US8285030B2 (en) Determining calibration parameters for a lithographic process
JP2005099765A (ja) プロセス近接効果の予測モデルの作成方法、工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム
TWI622079B (zh) 執行劑量調變之方法,特別是用於電子束微影
JP6462849B2 (ja) Ic製造プロセスモデルのパラメータを決定するための方法
KR102491578B1 (ko) Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
KR102009552B1 (ko) Ic 제조 공정의 메트릭을 계산하기 위한 방법
TWI621908B (zh) 由差分程序的決定積體電路製造製程的參數的方法
JP2004126486A (ja) パターン寸法補正装置及びパターン寸法補正方法
JP6039910B2 (ja) 生成方法、プログラム及び情報処理装置
JP2009210635A (ja) パターン予測方法、パターン補正方法、半導体装置の製造方法、及びプログラム
TWI617899B (zh) 經由匹配程序決定施加至積體電路製造過程之劑量校正的方法
JP4818281B2 (ja) 工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム
JP2011228586A (ja) 評価マップの作成方法、システム、半導体装置の製造方法およびプログラム
Poortinga et al. Improved prediction of Across Chip Linewidth Variation (ACLV) with photomask aerial image CD metrology

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170321

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180403

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181001

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181227

R150 Certificate of patent or registration of utility model

Ref document number: 6462849

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350