TWI596423B - 決定或使用積體電路製造製程模型的方法、決定或使用此模型的電腦程式、及半導體製造設備 - Google Patents

決定或使用積體電路製造製程模型的方法、決定或使用此模型的電腦程式、及半導體製造設備 Download PDF

Info

Publication number
TWI596423B
TWI596423B TW104125105A TW104125105A TWI596423B TW I596423 B TWI596423 B TW I596423B TW 104125105 A TW104125105 A TW 104125105A TW 104125105 A TW104125105 A TW 104125105A TW I596423 B TWI596423 B TW I596423B
Authority
TW
Taiwan
Prior art keywords
input variable
pattern
variable
model
function
Prior art date
Application number
TW104125105A
Other languages
English (en)
Other versions
TW201619690A (zh
Inventor
Mohamed Saib
Patrick Schiavone
Thiago Figueiro
Original Assignee
Aselta Nanographics
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aselta Nanographics filed Critical Aselta Nanographics
Publication of TW201619690A publication Critical patent/TW201619690A/zh
Application granted granted Critical
Publication of TWI596423B publication Critical patent/TWI596423B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31796Problems associated with lithography affecting resists

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electron Beam Exposure (AREA)

Description

決定或使用積體電路製造製程模型的方法、決定或使用此模型的電腦程式、及半導體製造設備
本發明顯著應用於電子或光學微影領域。在其他製程之間,其應用於遮罩寫入及直接寫入。其亦可施加於半導體製程之其他步驟,諸如奈米印刷、DSA(定向自組裝)、蝕刻、CMP(化學機械拋光/平面化)、退火、烘烤、計量等。
在遮罩寫入或直接寫入之程序期間,多個因素有助於誘發錯誤及避免預期圖案保真度之達成。若干該些因素為電子散射(正向及反向)、抗蝕劑擴散、抗蝕劑厚度、蝕刻、閃光、模糊、計量、加熱等。為改善解析度及減少該些現象的影響,存在鄰近效應修正(PEC)、模糊效應修正(FEC)、蝕刻補償之若干策略。策略係依據每一效應之影響的預測,接著為借助於劑量及/或幾何補償之修正。因此,修正之品質取決於用以預測現象之模型 品質,該模型之製程彼此不同。模型及修正之高準確性可肯定地獲得,但消耗高運算成本。
使用模型分解為二模型已成為一般知識,第一者用以預測電子鄰近效應,第二者用以預測所有其他效應,通常稱為抗蝕劑模型。
在本技藝中,電子鄰近效應之預測係使用不同類型(高斯函數或其他)之一或更多點散佈函數(PSF)實施,其係與目標設計捲積以產生抗蝕劑位準之空中影像。
亦需從目標設計之特性計算抗蝕劑模型之參數,使得模型正確地代表設計中各式圖案組態之閾值。抗蝕劑模型應可同樣地修正電子模型之缺陷及代表其他製程步驟之影響,曝光程序以及抗蝕劑顯影之效應顯著。
已揭露複數模型及用以定義充分的抗蝕劑模型,尤其:- 類型I模型:特徵在於恆定能量閾值,其中,恆定能量閾值被視為定義波束與抗蝕劑互動之能量位準,透漏(負抗蝕劑中)圖案;- 類型II模型:特徵在於以上定義之恆定閾值與可變偏壓之組合,其將目標設計之每一子部分之輪廓定義為空中影像之局部、半整體或整體屬性的多項式函數;類型II模型之範例係由以下文獻所揭露,Dunn等(2009)「蝕刻意識修正:第一步驟朝向整合圖案工程」,光學微微影XXII學報,SPIE卷7274;Q.Liu等(2010)「依據蝕刻偏差重新定位OPO目標之模型研究」,光學微微影 XXII學報,SPIE卷7640;J.-G.Park等(2011)「用於改進20nm節點DRAM閘極中晶片CD變化之有效蝕刻程序近似修正方法」,經由設計程序整合V之製造能力設計學報,SPIE卷7974;在該些類型II之模型中,考量以計算偏差之參數為設計尺寸、設計零件間之空間或設計密度;類型III模型:該些模型依據使用與類型II模型相同類型之參數及功能的可變能量閾值而相應於另一公式;類型III模型之揭露可見於「Cobb,N.B.、Zakhor.A.、Reihani,M.、Jahansooz,F.及Raghavan,V.N.之可變閾值抗蝕劑模型之光學近似修正的實驗結果學報,SPIE卷1997,3051,458-46」。已發現類型I模型不夠精確,尤其是針對若干關鍵圖案而言,因為恆定能量閾值並不代表目標表面上的所有物理效應。
類型II及類型III之模型業經申請人實驗性使用展示,並非在普遍性的情況下工作。這是因為多項式代表無法涵蓋所有實驗行為。儘管所選擇之變數針對設計圖案之若干部分足夠精確地工作,卻無法針對其他部分工作,其中無法為物理屬性之代表帶來任何改善,同時亦導致方案惡化。而且,有可能在若干情況下使用一模型取代二不同模型而代表電子效應及程序效應,該等組合將耗費時間。
本發明藉由提供單一模型而克服習知技藝之上述問題,該單一模型並非事前定義為多項式,或任何預先定義之功能,而是由校準程序設計。建議之模型可用以代表所有電子、物理、機械或化學現象,其發生於IC製程、顯著微影、抗蝕劑、蝕刻、加載等。
為此目的,本發明揭露一種以電腦決定的用於製造由用以印刷在基板上之目標設計所定義之半導體積體電路的製程模型的方法,該方法特徵在於包含:選擇包含複數圖案之校正組;選擇代表複數圖案之特徵變化的至少一輸入變數;藉由於複數圖案上之一些點決定至少一輸入變數之第一系列值,而獲得至少一輸入變數之一系列值;於一些點計算代表校正組之圖案印刷之輸出變數的第二系列值;決定製程模型為至少一輸入變數之第一系列值與輸出變數之第二系列值間之函數及相聯關係之一者。
有利地,該關係藉由內插程序而延伸至目標設計。
有利地,至少一輸入變數代表目標設計中圖案之CD(關鍵或基準尺寸)、空間及密度之一者。
有利地,至少一輸入變數係由捲積核函數及變形函數之複合物與目標設計所決定,變形函數包含選擇之偏移角。
有利地,複數圖案上一些點係位於該圖案之邊緣。
如申請專利範圍第1至5項之一項之方法, 其中,輸出變數為校正組中目標圖案與實際印刷圖案間之尺寸偏差。
有利地,輸出變數為校正組中印刷圖案與藉由捲積校正組中目標圖案與PSF(點散佈函數)所獲得之模擬設計的空中影像間之尺寸偏差。
有利地,輸出變數為藉由PSF定義之接收劑量的滿足實驗閾值(TTME)代表,以創造校正組中圖案空中影像。
有利地,TTME係於曝光後及蝕刻後之一者決定。
有利地,針對至少一輸入變數之相同值所獲得之輸出變數之系列值藉由加權平均程序合併。
有利地,內插程序為克立更(Kriging)統計法程序。
有利地,克立更統計法程序具有步驟,定義為施加於目標設計之修正容限函數。
本發明進一步揭露一種使用製造由將印刷於基板上之目標設計所定義之半導體積體電路的製程模型的方法,該方法特徵在於包含:依據識別目標設計、抗蝕劑及基板之至少一者之特徵清單,於電腦記憶體中選擇候選製程模型清單中的製程模型;從電腦之記憶體擷取至少一輸入變數之值與識別選擇之製程模型之輸出變數之值間之函數及相聯關係之一者;於目標設計上之部位計算至少一輸入變數之值;從輸出變數之模型值得到部位之至少一輸 入變數;儲存部位之輸出變數之值,用於IC(積體電路)製程之修正步驟、模擬步驟及檢查步驟之一者。
本發明進一步揭露一種決定用於製造由目標設計所定義之半導體積體電路的製程模型的電腦程式,該電腦程式特徵在於包含:一或更多介面用於:i)選擇包含複數圖案之校正組;ii)選擇代表該複數圖案之特徵變化的至少一輸入變數;iii)藉由於複數圖案上之一些點決定至少一輸入變數,而獲得至少一輸入變數之第一系列值;電腦碼,經組配用於在一些點計算代表校正組之圖案印刷之輸出變數的一系列值;電腦碼,經組配用於決定製程模型為至少一輸入變數與輸出變數之系列值間之函數及相聯關係之一者。
本發明進一步揭露一種使用用於製造由將印刷於基板上之目標設計所定義之半導體積體電路的製程模型的電腦程式,電腦程式之特徵在於包含:一或更多介面用於:依據識別目標設計、抗蝕劑及基板之至少一者之特徵清單,於電腦記憶體中選擇候選製程模型清單中的製程模型;從電腦之記憶體擷取至少一輸入變數之值與識別選擇之製程模型之輸出變數之值間之函數及相聯關係之一者;電腦碼,經組配用於:於目標設計上之部位計算至少一輸入變數之值;從輸出變數之模型值得到部位之至少一輸入變數;儲存部位之輸出變數之值,用於IC製程之修正步驟、模擬步驟及檢查步驟之一者。
本發明進一步揭露一種半導體製造裝備,經 組配以使用依據本發明之電腦程式的至少輸出,該半導體製造裝備經組配用於半導體晶圓上之直接寫入、遮罩板上之寫入、蝕刻、化學或機械拋光、或烘烤、退火半導體晶圓、及檢查遮罩或半導體表面之一者。
本發明之另一優點為其不限於製程之多項式擬合(線性或二次)。而且,依據本發明之校準製程可由內插/外插程序補充而更準確地代表跨越其整個表面之設計圖案的變化。尤其,使用不同度量或製程響應做為核函數之輸入,允許在劑量或幾何域中包含於不同類型之大量參數的製程模型中,產生物理實體之更佳代表。
100‧‧‧電子束
110‧‧‧目標
111‧‧‧抗蝕劑層
112、220a‧‧‧基板
120‧‧‧線
130、140‧‧‧散射雲
150、160‧‧‧箭頭
230a‧‧‧模擬圖案
230b‧‧‧曝光圖案
240a‧‧‧實際圖案
240b‧‧‧劑量曲線
250a‧‧‧差異
250b‧‧‧位準
310a、310b、310c、320a、330a、340a、340b、340c、350a、360a、370a、380a‧‧‧步驟
510a‧‧‧計算
520b‧‧‧興趣點
530b、530c‧‧‧交點
540b‧‧‧目標圖案
從各式實施例及下列附圖之描述,將更佳理解本發明,且其各式特徵及圖式將變得顯而易見:圖1a及1b代表在基板以抗蝕劑定向之粒子的反向散射效應;圖2a及2b分別描繪本發明之若干實施例中目標/模擬圖案及測量圖案間之偏差,及測量圖案及滿足實驗閾值(TTME)間之關係;圖3a、3b及3c代表依據本發明之若干實施例之製程變量流程圖,以建立製程模型;圖4a及4b分別代表本發明之若干實施例中製程模型變數及TTME及偏差之相應值的表;圖5a、5b、及5c描繪依據本發明之若干實施例之製 程模型中使用的度量範例。
圖1a及1b代表在基板以抗蝕劑定向之粒子的反向散射效應。
圖1a顯示目標110(抗蝕劑111層,接著在線120定義之基板112中)中波束之電子軌跡的垂直截面。可觀察軌跡之隨機性質,其非常適於蒙地卡羅(Monte-Carlo)模擬之模型化。然而,此類型之模擬難以用於生產,因為其不允許以參數化或表列功能直接計算。
在圖1b中,源自電子束100與目標110互動之二散射效應已模型化。以二散射雲130、140表示,其相應於20kV及50kV之加速電壓。正向散射係以箭頭150代表及反向散射係以箭頭160。如同可見,加速電壓愈高,雲愈深,但該雲之孔徑愈小。若電壓高,反向散射電子將以距波束之中心影響點較遠距離於目標表面彈射。
電子鄰近效應顯著地取決於目標材料及其幾何。不論希望實施電子轟擊(蝕刻、成像或分析)之原因為何,其因此需考量鄰近效應以便獲得對所欲目標為真之結果。此為特定模型化之目標,不同於其他物理或化學效應之模型化。
為此實施已知的實現是使用所謂散射或點散佈函數(PSF)及PSF與目標幾何之捲積。共用之PSF為 高斯函數、模型化正向散射之第一高斯函數(正向散射之PSF)、及模型化反向散射之一或更多其餘高斯函數(反向散射之PSF)的組合。
PSF方程式因而習知地由下列形式之函數f(x,y)代表:
具下列符號:α為直接輻射之寬度;β為反向散射寬度;η為直接及反向散射輻射之強度比例;ξ為點之徑向位置。
αβη之參數值可由特定製程之嘗試及錯誤決定。該些參數為機器之加速電壓及目標的函數。典型地,對50KV之加速電壓及矽或玻璃目標(SiO2)而言,α為30nm,β為10μm,及η為0.5。
然而,此模型之效率不佳,由反向散射支配之距離效應顯著。
已提出並實施針對PSF途徑之若干改善,在本申請案之申請人共同代理之已公佈歐洲專利案noEP2560187中顯著,其中,關於波束中心之偏心高斯函數用以代表反向散射效應。
但模型化途徑之目標可代表重要之實際製程,其為所有製造步驟之實際輸出,即在直接寫入狀況下 之遮罩或晶圓的實際印刷,並非僅是與設計圖案捲積之PSF近似的空中影像。因此,在本發明之若干實施例中,發明者已繞過傳統途徑,其分割PSF模型及抗蝕劑模型之二模型中製程之模型化。如此一來,依據一組特定圖案之測量的模型校準允許聯合模型之全參數化。在若干其他實施例中,選擇PSF做為應用之函數(例如做為將嵌入之目標設計之材料的函數),且依據本發明之製程模型用以參數化IC半導體製程之其他空間、物理、機械及化學效應。
圖2a及2b分別描繪本發明之若干實施例中目標/模擬圖案及測量圖案間之偏差,以及測量圖案及滿足實驗閾值(TTME)及滿足實驗閾值(TTME)間之關係。
依據本發明之各式實施例,選擇包含複數圖案之校正組。校正組中圖案在尺寸、空間及密度方面應充分多樣。校正組用以在盡可能許多組態中捕捉製程簽章。模型之精密度將主要取決於校正組中圖案之數量及代表性。實驗上,申請人已決定數百圖案產生良好精密度。典型地,可使用800個圖案,其可為產業標準接受。但本發明亦可以校正組實施,其包括較少圖案。如此一來,代表製程簽章之模型的精密度較低。相反地,可使用包括較多圖案之校正組。精密度將更高,但校準工作量將更顯著,且在若干應用中可能不被接受。
圖2a及2b示意地描繪於依據本發明之校準 程序中實施之測量及計算。可獲得不同製程步驟之計量結果:絕緣後、蝕刻後、加載後等。
圖2a及2b以基板220a表示遮罩或晶圓。曝光圖案230b投射至表面上,並創造實際圖案240a。
圖2a描繪依據本發明之第一實施例,可測量明確製程中圖案之實際印刷240a及目標或模擬圖案230a間之差異250a。目標及曝光間之差異或差量通常稱為偏差。在本實施例中,模型可校準為全製程模型,如以下關於圖3a之描述中的進一步討論,或僅為結合PSF使用之抗蝕劑模型,如以下關於圖3b之描述中的進一步討論。在第一狀況下,關於目標圖案計算偏差。在第二狀況下,係關於使用PSF模擬之圖案計算。
圖2b描繪依據本發明之第二實施例,可計算滿足實驗閾值或TTME,其為相應於測量之印刷240a與取自PSF模型之劑量曲線240b之交點的劑量(或能量)位準250b。因此,此模型化方法僅可用於與PSF模型之組合,做為抗蝕劑模型。
圖3a、3b及3c代表依據本發明之若干實施例之製程變量流程圖,以建立製程模型。
如已討論,依據本發明之製程模型以模型化使用電子束微影、蝕刻、顯影等所有效應,或僅做為抗蝕劑模型,其將用於與PSF之組合,模型化電子鄰近效應。
圖3a描繪依據第一級實施例之步驟的流程圖,以實施本發明,其中所有效應係聯合模型化。
如以上已討論,於步驟310a選擇圖案之校正組。亦於步驟320a選擇充分的度量以識別圖案之校正組上之製程簽章。充分的度量之選擇並非本發明之目標。有利於實施本發明之特定度量已由本申請案之申請人共同代理之已公佈歐洲專利案noEP 14305834.5揭露。以下關於圖5a、5b及5c討論該些特定度量。亦可使用諸如依據可見性核心之其他類型度量,而未偏離本發明之範圍。可見性核心例如係由Sato(S.Sato、K.Ozawa、及F.Uesawa「超越65-nm節點之基於模型之OPC的乾式蝕刻近似功能」學報,SPIE卷6155,2006)或Park(J.-G.Park、S.-W.Kim、S.-B.Shim、S.-S.Suh、及H.-K.Oh「改進20nm節點DRAM閘極中晶片CD變化之有效蝕刻程序近似修正方法」,經由設計-製程整合V之可製造能力設計學報,SPIE卷7974,2011)揭露。而且,已發表之美國專利案no US2010/269084及US2011/138343揭露可見性核心以掃描半導體設計。
度量可由具有若干變數之向量代表,其將用做模型之輸入變數。例如,CD(即圖案之關鍵或基準尺寸,在圖案中包含線、線寬)、空間(在圖案中包含線、線間距離)或密度,其為CD及空間之複合物,可使用各式方法計算,例如藉由沿掃描半徑整合或捲積有興趣之點。
有利地使用充分輸入變數而可正確地說明製程之簽章變化。但包括度量中過多輸入變數,將使執行時 間變長。
在步驟330a,以選擇之校準點測量輸入變數(如以上所討論)。在步驟340a,於相同點實施曝光圖案及目標圖案間之差異(或偏差)計算,如以上關於圖2a所說明。接著在步驟350a實施資料正常化程序:將相應於相同輸入向量之偏差值平均。
在步驟370a,使用在步驟360a選擇之內插法計算輸入向量之內插值之偏差的內插值。各式內插法可用以實施此步驟。例如,可使用Kriging內插程序。http://en.wikipedia.orq/wiki/Kriqinq中描述克立更統計法程序。Kriging或高斯函數程序回歸為一種內插法,其中內插值係由習知共變量支配之高斯程序模型化,與經挑選以最佳化適配值之平滑性的分段多項式仿樣相反。在先前的適當假設下,克立更統計法提供中間值之最佳線性無偏差預測。依據諸如平滑性之其他標準的內插法不需產生最相似的中間值。此技術亦稱為最佳線性(Kolmogorov Wiener)預測。
在步驟380a,為使用模型,可建立輸入變數及輸出變數(偏差或TTME)間之函數關係。例如藉由使用輸入變數及輸出變數間之相關函數可建立函數關係,如一般技術之人士可做。可替代地使用一表,其中輸入輸入變數及平均輸出變數之內插值。即使建立函數關係,在使用計算資源方面將更有效率地將由函數關係獲得之值轉換為表,當使用模型時,可即時使用該表。
將注意的是模型之有效性域係由已實施之校準步驟領域定義。
在圖3b之流程圖所描繪本發明之變量中,於校準及計算步驟之前實施PSF之選擇步驟。PSF可為關於圖1a及1b所描述之類型。在電子微影之狀況下,可為集中高斯函數之組合,具參數αβ,其代表正向散射及反向散射效應。代表反向散射效應之高斯函數亦可為關於波束中心之偏心,如以上提及之EP2560187所揭露。亦可使用不同類型函數,諸如與本申請案申請人相同之申請人之已公佈歐洲專利noEP2650902所揭露者,其中,使用佛伊格特(Voigt)型擴散函數。而且,可使用電子鄰近效應之其他模型化途徑,諸如歐洲專利申請案EP2756518所揭露者,其中,應用去捲積函數之概率性途徑。在光學微影之狀況下,可為相干光源或其他類似模型之加總。
接著,在本發明之變量中,步驟340a被步驟340b取代,其中,未計算偏差做為隔絕圖案及目標圖案間之差異。計算偏差做為隔絕圖案及模擬圖案間之差異,其係藉由捲積選擇之PSF與目標設計圖案而獲得。偏差之計算與關於圖3a說明者為相同類型,除了目標圖案被模擬圖案取代之外。
接著,如圖3a之變量中所描述,實施其他步驟。
在圖3c之流程圖所描繪之另一變量中,亦存在PSF之選擇步驟310c,但步驟340b之偏差計算被圖2b 代表之類型之滿足實驗閾值(TTME)之計算步驟340c取代,其部分由以上關於圖2b之描述說明。偏差之使用暗示幾何修正,反之,TTME之使用更適於劑量修正。
其他步驟之實施類似於圖3a及3b之變量。
圖4a及4b代表具製程模型之變數的表,並分別相應於本發明之若干實施例中TTME及偏差之值。
圖4a及4b之表僅提供做為本發明之方法之二不同實施的描繪。並不侷限申請項之範圍,其施加於相同類型之其他結果,具不同若干輸入變數及不同值。如已說明,存在針對偏差及TTME之不同使用狀況。
圖5a、5b及5c描繪依據本發明之若干實施例之製程模型中使用的度量範例。
該些圖所描繪之度量為本申請案之申請人共同代理之歐洲專利申請案n°EP14305834.5所揭露之類型。
圖5a描繪有興趣之各向異性區510a,其具有半徑R及變形角θ。
圖5b描繪來自興趣點520b之計算510a下之區域與一組目標圖案540b之交點530b。如此一來,依據本發明,計算延伸跨越計算下之整個區域,即使從興趣點若干區域不可見。使用計算結果,如以下定義外部密度之說明。
圖5c描繪來自興趣點520b之以角φ=π旋轉之計算510a下之區域與一組目標圖案540b之交點530c。 如此一來,依據本發明,計算延伸跨越計算下之整個區域,即使從興趣點若干區域不可見。使用計算結果,如以下定義內部密度之說明。
外部密度及內部密度計算如捲積,標示為*,跨越整個目標設計。對每一興趣點(x,y)而言,計算目標設計之捲積V(x,y)的積分,由函數代表為:V(x,y)=L(x,y)*N(x,y),其中L(x,y)具定向核心N(x,y)。
捲積的積分的計算:
其中定向核心N(x,y)可分為兩部分
為高斯核函數,且f(α tan(v/u)+φ)為變形函數。
φ角為變形函數之偏移角,隨設計之位置(x,y)而異。
φ角參照基礎變形函數達到其最大值之軸。偏移角可於[0,2π]範圍內變化。請注意,φ角針對(x,y)位置,僅具有一可能值。因而,其可視為每一V(x,y)計算之固定參數。
為求方便,在下列描述部分中,N(u,v)函數將寫入極座標為:N(r,θ)=K(r)x f(θ+φ)
其中半徑,及θ=α tan(v/u)
在計算外部密度之狀況下,組配f(θ+φ)使得核心朝向圖案外部定向。事實上,若φ被φ+π取代,內部密度可與外部密度平行計算,而無進一步計算成本。
同樣地,在計算內部密度之狀況下,組配f(θ+φ)使得核心朝向圖案內部定向。事實上,若φ被被φ+π取代,外部密度可與內部密度平行計算,而無進一步計算成本。
選擇偏移角φ做為從興趣點至設計圖案之垂直的視角,使得捲積之積分的敏感性最大化至估計之度量(空間或CD)。在曼哈頓(Manhattan)圖案化設計之狀況下(即具垂直線),當興趣點在垂直線右(左)邊緣,且分別觀察設計之外部或內部時,充分的偏移角將為π/2(3π/2)或3π/2(π/2)。當興趣點在Manhattan圖案化設計之水平線的底部(頂部)邊緣,且分別觀察設計之外部或內部時,充分的偏移角將為π(0)或0(π)。
更一般地說,較佳的規則為選擇變形函數之偏移角φ使得圖案化設計(例如Manhattan或自由形式)之區域最佳。當沿圖案化設計之邊緣移動興趣點時,在可定義邊緣法線之區域中(即連續外切邊緣),φ定義為邊緣至參考方向之法線角度。
在較佳實施例中,偏移角於不連續點可定義為不連續點之二區段之外部角平分線及參考方向間之角。
本發明之方法可用於半導體IC製程中許多使 用狀況。更具體地,其極適於某類型電子束微影製程,以取代經由模擬而計算鄰近效應修正的方法,該模擬係於其中計算點散佈函數(PSF)及設計間之捲積之第一步驟及其中考量抗蝕劑閾值之第二步驟中分解。
本發明之更精確全部或部分(即包括或不包括PSF)製程模型極有效率,其可調整為任何類型製程簽章。特別是針對蝕刻後及/或使用超紫外光(EUV)遮罩而模型化電子束微影製程的狀況。這是因為超UV被重金屬層(鉭或氮化鉭)覆蓋,此創造顯著的反向散射效應。
當需要更精確製程模型之狀況亦然,用於直接寫入、模擬或檢查應用。
本發明之方法可以任何類型微影裝備、模擬或檢查裝置實施,例如藉由控制具結合電腦碼指令之InscaleTM軟體的裝備或裝置,其經組配用於實施本描述所揭露之步驟。
本說明書中所揭露之範例僅描繪本發明之若干實施例。其未以任何方式侷限由申請項所定義之該發明的範圍。

Claims (15)

  1. 一種以電腦決定的用於製造由用以印刷在基板上之目標設計所定義之半導體積體電路的製程模型的方法,該方法包含:選擇包含複數圖案之校正組;選擇代表該複數圖案之特徵變化的至少一輸入變數;藉由於該複數圖案上之一些點決定該至少一輸入變數之第一系列值,而獲得該至少一輸入變數之一系列值;於該些點計算代表該校正組之圖案印刷之輸出變數的第二系列值;決定該製程模型為該至少一輸入變數之該第一系列值與該輸出變數之該第二系列值間之函數及相聯關係之一者;該方法之特徵在於該至少一輸入變數係由捲積核函數及變形函數之複合物與該目標設計所決定,該變形函數包含選擇之偏移角。
  2. 如申請專利範圍第1項之方法,其中,該關係藉由內插程序而延伸至該目標設計。
  3. 如申請專利範圍第1項之方法,其中,該至少一輸入變數代表該目標設計中圖案之CD(關鍵或基準尺寸)、空間及密度之一者。
  4. 如申請專利範圍第1項之方法,其中,該複數圖 案上該些點係位於該圖案之邊緣。
  5. 如申請專利範圍第1項之方法,其中,該輸出變數為該校正組中目標圖案與該實際印刷圖案間之尺寸偏差。
  6. 如申請專利範圍第1項之方法,其中,該輸出變數為該校正組中該實際印刷圖案與藉由捲積該校正組中該目標圖案與PSF(點散佈函數)所獲得之模擬設計的空中影像間之尺寸偏差。
  7. 如申請專利範圍第1項之方法,其中,該輸出變數為藉由PSF定義之接收劑量的代表的滿足實驗閾值(TTME),以創造該校正組中圖案空中影像。
  8. 如申請專利範圍第7項之方法,其中,該TTME係於曝光後及蝕刻後之一者決定。
  9. 如申請專利範圍第1項之方法,其中,針對該至少一輸入變數之相同值所獲得之該輸出變數之系列值藉由加權平均程序合併。
  10. 如申請專利範圍第2至9項之一項之方法,其中,該內插程序為克立更(Kriging)統計法程序。
  11. 如申請專利範圍第10項之方法,其中,該克立更統計法程序具有步驟,定義為施加於該目標設計之修正容限函數。
  12. 一種使用製造由將印刷於基板上之目標設計所定義之半導體積體電路的製程模型的方法,該方法包含:依據識別該目標設計、該抗蝕劑及該基板之至少 一者之特徵清單,於電腦記憶體中選擇候選製程模型清單中的製程模型;從該電腦之該記憶體擷取至少一輸入變數之值與識別該選擇之製程模型之輸出變數之值間之函數及相聯關係之一者;於該目標設計上之部位計算該至少一輸入變數之值;從該輸出變數之該模型值得到該部位之該至少一輸入變數;儲存該部位之該輸出變數之該值,用於該IC(積體電路)製程之修正步驟、模擬步驟及檢查步驟之一者;該方法之特徵在於該至少一輸入變數係藉由捲積核函數及變形函數之複合物與該目標設計所決定,該變形函數包含選擇之偏移角。
  13. 一種決定用於製造由目標設計所定義之半導體積體電路的製程模型的電腦程式,該電腦程式包含:一或更多介面用於:選擇包含複數圖案之校正組;選擇代表該複數圖案之特徵變化的至少一輸入變數;藉由於該複數圖案上之一些點決定該至少一輸入變數,而獲得該至少一輸入變數之第一系列值;電腦碼,經組配用於在該些點計算代表該校正組 之圖案印刷之輸出變數的一系列值;電腦碼,經組配用於決定該製程模型為該至少一輸入變數與該輸出變數之該系列值間之函數及相聯關係之一者;該電腦程式之特徵在於該至少一輸入變數係由捲積核函數及變形函數之複合物與該目標設計所決定,該變形函數包含選擇之偏移角。
  14. 一種使用於製造由將印刷於基板上之目標設計所定義之半導體積體電路的製程模型的電腦程式,該電腦程式之特徵在於包含:一或更多介面用於:依據識別該目標設計、該抗蝕劑及該基板之至少一者之特徵清單,於電腦記憶體中選擇候選製程模型清單中的製程模型;從該電腦之該記憶體擷取至少一輸入變數之值與識別該選擇之製程模型之輸出變數之值間之函數及相聯關係之一者;電腦碼,經組配用於:於該目標設計上之部位計算該至少一輸入變數之值;從該輸出變數之該模型值得到該部位之該至少一輸入變數;儲存該部位之該輸出變數之該值,用於該IC製程之修正步驟、模擬步驟及檢查步驟之一者; 該電腦程式之特徵在於該至少一輸入變數係藉由捲積核函數及變形函數之複合物與該目標設計所決定,該變形函數包含選擇之偏移角。
  15. 一種半導體製造裝備,經組配以至少使用如申請專利範圍第13至14項之一項之電腦程式的輸出,該半導體製造裝備經組配用於半導體晶圓上之直接寫入、遮罩板上之寫入、蝕刻、化學或機械拋光、或烘烤、退火半導體晶圓、及檢查遮罩或半導體表面之一者。
TW104125105A 2014-08-05 2015-08-03 決定或使用積體電路製造製程模型的方法、決定或使用此模型的電腦程式、及半導體製造設備 TWI596423B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP14306241.2A EP2983193B1 (en) 2014-08-05 2014-08-05 Method for determining the parameters of an ic manufacturing process model

Publications (2)

Publication Number Publication Date
TW201619690A TW201619690A (zh) 2016-06-01
TWI596423B true TWI596423B (zh) 2017-08-21

Family

ID=51352479

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104125105A TWI596423B (zh) 2014-08-05 2015-08-03 決定或使用積體電路製造製程模型的方法、決定或使用此模型的電腦程式、及半導體製造設備

Country Status (6)

Country Link
US (1) US10295912B2 (zh)
EP (1) EP2983193B1 (zh)
JP (1) JP6462849B2 (zh)
KR (1) KR101947290B1 (zh)
TW (1) TWI596423B (zh)
WO (1) WO2016020264A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017203879B4 (de) 2017-03-09 2023-06-07 Carl Zeiss Smt Gmbh Verfahren zum Analysieren einer defekten Stelle einer photolithographischen Maske
TWI644190B (zh) * 2017-06-29 2018-12-11 台灣積體電路製造股份有限公司 製程系統與製程方法
US11080458B2 (en) * 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography simulation method
WO2021083581A1 (en) * 2019-10-31 2021-05-06 Carl Zeiss Smt Gmbh Fib-sem 3d tomography for measuring shape deviations of high aspect ratio structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090208481A1 (en) * 2008-02-05 2009-08-20 Steinman Lawrence M Proteomic analysis of active multiple sclerosis lesions
TW201022662A (en) * 2008-12-02 2010-06-16 Dcg Systems Inc System and method for photoemission-based defect detection
TW201305836A (zh) * 2011-07-20 2013-02-01 Taiwan Semiconductor Mfg 參數化模型的建立方法及系統
US20140015529A1 (en) * 2011-03-22 2014-01-16 The Johns Hopkins University System and method of performing magnetic resonance spectroscopic imaging

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002311563A (ja) * 2001-04-17 2002-10-23 Sony Corp 補正テーブルの作成装置及び作成方法、補正テーブル用マスクパターンの作成装置及び作成方法、微細加工用マスクパターンの作成装置及び作成方法、並びに微細加工パターンの形成方法
JP2004077837A (ja) * 2002-08-19 2004-03-11 Sony Corp 設計パターンの補正方法
US7207029B2 (en) * 2004-09-29 2007-04-17 Synopsys, Inc. Calculating etch proximity-correction using image-precision techniques
JP2007102207A (ja) * 2005-09-08 2007-04-19 Takumi Technology Corp 複雑度低減のためのルールベース光学近接効果補正における可変バイアス・ルールの作成および適用
US7458059B2 (en) * 2005-10-31 2008-11-25 Synopsys, Inc. Model of sensitivity of a simulated layout to a change in original layout, and use of model in proximity correction
JP5110294B2 (ja) * 2008-04-16 2012-12-26 大日本印刷株式会社 電子線描画用パターンデータの作成方法及びそれに用いる近接効果補正方法、そのデータを用いたパターン形成方法
US20100269084A1 (en) 2008-11-24 2010-10-21 Yuri Granik Visibility and Transport Kernels for Variable Etch Bias Modeling of Optical Lithography
JP2012023279A (ja) * 2010-07-16 2012-02-02 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
KR20130008662A (ko) * 2011-02-28 2013-01-23 삼성전자주식회사 포토마스크 레이아웃 형성 방법
FR2979165B1 (fr) 2011-08-16 2014-05-16 Commissariat Energie Atomique Procede de correction des effets de proximite electronique utilisant des fonctions de diffusion decentrees
EP2756518B1 (fr) 2011-09-13 2017-01-11 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Procédé de correction des effets de proximité électronique utilisant une déconvolution du motif à insoler par une méthode probabiliste
KR101855803B1 (ko) * 2012-02-22 2018-05-10 삼성전자주식회사 식각 근접 보정방법
FR2989513B1 (fr) 2012-04-12 2015-04-17 Aselta Nanographics Procede de correction des effets de proximite electronique utilisant des fonctions de diffusion de type voigt
EP2952963B1 (en) 2014-06-03 2020-12-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for calculating the metrics of an ic manufacturing process
US20170116462A1 (en) * 2015-10-22 2017-04-27 Canon Kabushiki Kaisha Measurement apparatus and method, program, article manufacturing method, calibration mark member, processing apparatus, and processing system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090208481A1 (en) * 2008-02-05 2009-08-20 Steinman Lawrence M Proteomic analysis of active multiple sclerosis lesions
TW201022662A (en) * 2008-12-02 2010-06-16 Dcg Systems Inc System and method for photoemission-based defect detection
US20140015529A1 (en) * 2011-03-22 2014-01-16 The Johns Hopkins University System and method of performing magnetic resonance spectroscopic imaging
TW201305836A (zh) * 2011-07-20 2013-02-01 Taiwan Semiconductor Mfg 參數化模型的建立方法及系統

Also Published As

Publication number Publication date
EP2983193A1 (en) 2016-02-10
JP6462849B2 (ja) 2019-02-06
WO2016020264A1 (en) 2016-02-11
KR20170044096A (ko) 2017-04-24
TW201619690A (zh) 2016-06-01
US10295912B2 (en) 2019-05-21
JP2017523615A (ja) 2017-08-17
US20170168401A1 (en) 2017-06-15
KR101947290B1 (ko) 2019-02-12
EP2983193B1 (en) 2021-10-20

Similar Documents

Publication Publication Date Title
TWI596423B (zh) 決定或使用積體電路製造製程模型的方法、決定或使用此模型的電腦程式、及半導體製造設備
JP2005099765A (ja) プロセス近接効果の予測モデルの作成方法、工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム
KR102009552B1 (ko) Ic 제조 공정의 메트릭을 계산하기 위한 방법
TWI622079B (zh) 執行劑量調變之方法,特別是用於電子束微影
TWI621908B (zh) 由差分程序的決定積體電路製造製程的參數的方法
KR20160031831A (ko) 슬릿 효과를 반영한 opc 방법과 그 opc 방법을 이용한 euv 마스크 제조방법 및 반도체 소자 제조방법
TWI617899B (zh) 經由匹配程序決定施加至積體電路製造過程之劑量校正的方法
JP7094594B2 (ja) 処理条件推定装置、方法及びプログラム
JP6819963B2 (ja) シミュレーション装置、シミュレーション方法、およびプログラム
JP4818281B2 (ja) 工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム
TW202416334A (zh) 影像建模輔助輪廓提取
CN116520634A (zh) 对光学邻近修正光学模型筛选和评估的方法
JP2006196706A (ja) 電子ビーム露光パターンの検証方法