JP2016526697A - ウェーハベースの光源パラメータ制御 - Google Patents

ウェーハベースの光源パラメータ制御 Download PDF

Info

Publication number
JP2016526697A
JP2016526697A JP2016519552A JP2016519552A JP2016526697A JP 2016526697 A JP2016526697 A JP 2016526697A JP 2016519552 A JP2016519552 A JP 2016519552A JP 2016519552 A JP2016519552 A JP 2016519552A JP 2016526697 A JP2016526697 A JP 2016526697A
Authority
JP
Japan
Prior art keywords
light beam
wafer
pulsed light
performance parameter
receiving
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016519552A
Other languages
English (en)
Inventor
ビー. ラロヴィック,アイヴァン
ビー. ラロヴィック,アイヴァン
ズリタ,オマール
アレン レヒトシュタイナー,グレゴリー
アレン レヒトシュタイナー,グレゴリー
アラーニャ,パオロ
シェイ,ウェイ−アン
ロキツキー,ロスティスラフ
ジアン,ルイ
ジン リー,ジェイソン
ジン リー,ジェイソン
Original Assignee
サイマー リミテッド ライアビリティ カンパニー
サイマー リミテッド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サイマー リミテッド ライアビリティ カンパニー, サイマー リミテッド ライアビリティ カンパニー filed Critical サイマー リミテッド ライアビリティ カンパニー
Publication of JP2016526697A publication Critical patent/JP2016526697A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70041Production of exposure light, i.e. light sources by pulsed sources, e.g. multiplexing, pulse duration, interval control or intensity control

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Lasers (AREA)

Abstract

【課題】ウェーハベースの光源パラメータ制御を提供する。【解決手段】フォトリソグラフィ方法は、光学源に命令してパルス光ビームを発生させることと;リソグラフィ露光装置のウェーハを横断してパルス光ビームをスキャンし、パルス光ビームでウェーハを露光することと;ウェーハを横断するパルス光ビームのスキャンの際にウェーハにおけるパルス光ビームの特性を受信することと;特定のパルス光ビーム特性についてウェーハの物性の決定値を受信することと;スキャンの際に受信したパルス光ビーム特性と物性の受信した決定値とに基づいて、ウェーハを横断するスキャンの際のパルス光ビームの性能パラメータを変更することと;を含む。【選択図】図1

Description

開示される主題はウェーハベースの光源パラメータ制御に関する。
フォトリソグラフィは、半導体回路をシリコンウェーハなどの基板上にパターニングする工程である。フォトリソグラフィ光源は、ウェーハ上のフォトレジストを露光するために用いられる深紫外(DUV)光を提供する。フォトリソグラフィ用のDUV光はエキシマ光源により発生される。多くの場合、光源はレーザ源であり、パルス光ビームはパルスレーザビームである。光ビームはビーム伝送ユニットを通過し、レチクル(又はマスク)を透過し、それから用意されたシリコンウェーハ上に投射される。このようにしてチップ設計がフォトレジスト上にパターニングされ、これはその後エッチング及び洗浄され、次にこの工程が繰り返される。
いくつかの一般的な態様においては、フォトリソグラフィ方法は、光学源に命令してパルス光ビームを発生することと;リソグラフィ露光装置のウェーハを横断してパルス光ビームをスキャンし、パルス光ビームでウェーハを露光することと;ウェーハを横断するパルス光ビームのスキャンの際にウェーハにおけるパルス光ビームの特性を受信することと;特定のパルス光ビーム特性についてウェーハの物性の決定値を受信することと;スキャンの際に受信したパルス光ビーム特性と物性の受信した決定値とに基づいて、ウェーハを横断するスキャンの際のパルス光ビームの性能パラメータを変更することと;を含む。
実装形態は以下の特徴のうち1つ以上を含んでいてもよい。例えば、物性の決定値は、ウェーハの物性の誤差を含んでいてもよい。物性は、ウェーハ上に形成されたフィーチャのコントラスト、パルス光ビームにさらされるウェーハ領域における臨界寸法、パルス光ビームにさらされるウェーハ領域におけるフォトレジストプロファイル、ウェーハ上に形成される層間のオーバレイ、及びパルス光ビームにさらされるウェーハ領域の側壁角度のうち1つ以上であってもよい。
特定の光ビーム特性についてのウェーハの物性の決定値は、光学源の光ビームによって予め露光されたウェーハにおける一組の光ビーム特性に対する予め露光されたウェーハの物性の一組の決定値を受信することにより受信されてもよい。
ウェーハにおける光ビームの特性は、ウェーハを横断するパルス光ビームのスキャンの際に、光ビームがウェーハを露光する位置を受信することにより受信されてもよい。
ウェーハにおける光ビームの特性は、ウェーハを横断するパルス光ビームのスキャンの際に、光ビームがウェーハを露光するときに光ビームのエネルギを受信することにより受信されてもよい。
パルス光ビームの性能パラメータは、パルス光ビームの目標性能パラメータを変更することによって変更されてもよい。本発明の方法はさらに、パルス光ビームの性能パラメータの測定を受信することと;測定された性能パラメータが変更された目標性能パラメータと一致するかどうかを判定することと;測定された性能パラメータが変更された目標性能パラメータと一致しないと判定された場合、光学源に信号を送信してパルス光ビームの性能パラメータを変更することと;をさらに含んでいてもよい。
ウェーハにおける光ビーム特性は、リソグラフィ露光装置から光学源への制御信号を受信することと;受信した制御信号に基づいてウェーハにおける光ビーム特性を判定することと;によって受信されてもよい。
本発明の方法は、パルス光ビームの性能パラメータへの変更を決定することを含んでいてもよい。パルス光ビームの性能パラメータへの変更は、ウェーハにおける光ビーム特性の関数として記憶された性能パラメータの組にアクセスすることと;アクセスされた組内で現在のウェーハにおける光ビームの受信した特性に対応する性能パラメータの値を選択することと;性能パラメータの選択された値をパルス光ビームの性能パラメータの現在の値と比較することと;によって決定されてもよい。本発明の方法は、性能パラメータの選択された値が現在の値と一致しない場合、現在の性能パラメータが選択された値と一致するよう調整される必要があると決定することを含んでいてもよい。
特定の光ビーム特性についてのウェーハの物性の決定値は、光ビームの受信した特性に基づいて特定の光ビーム特性についてウェーハの物性の値を選択することにより受信されてもよい。
ウェーハの物性の決定値は、ウェーハにおける一組の光ビーム特性でのウェーハの一組の測定された物性を受信することにより受信されてもよい。本発明の方法は、組のウェーハにおける各光ビーム特性について、測定された物性に基づいてパルス光ビームの性能パラメータを決定することと;決定された性能パラメータを組内の各光ビーム特性で記憶することと;を含んでいてもよい。
パルス光ビームはウェーハのフィールドを横断してパルス光ビームをスキャンすることによってウェーハを横断してスキャンされてもよく、フィールドは露光されるウェーハの全領域の一部であり、光ビームの特性はフィールドを横断するスキャンの際に特性を受信することにより受信されてもよい。
パルス光ビームの性能パラメータは、スペクトル特徴、スペクトル特徴の誤差、パルス光ビームのエネルギ、パルス光ビームの量、パルス光ビームの波長の誤差、パルス光ビームの帯域幅、及びパルス光ビームのスペクトル形状のうち1つ以上を変更することにより変更されてもよい。
本発明の方法は、パルス光ビームの性能パラメータを変更することに基づいてウェーハにおけるパターニングの誤差を補正することを含んでいてもよい。ウェーハパターニングの誤差はリソグラフィ露光装置を変更することなく補正されてもよい。ウェーハパターニングの誤差はリソグラフィ露光装置内の光学的フィーチャ又は構成要素を変更することなく補正されてもよい。
パルス光ビームの性能パラメータはパルス光ビームのスペクトル特徴を変更することによって変更されてもよく、本発明の方法は、光ビーム特性が受信される度にスペクトル特徴の推定を生成することを含んでいてもよい。
光ビーム特性はウェーハの各フィールドにおいて受信されてもよく、フィールドは露光されるウェーハの全領域の一部及び露光窓の1回のスキャンにおいて露光されるウェーハの領域である。
パルス光ビームはパルス光ビームのスペクトル形状をパルス間ベースで摂動させることによって発生されてもよい。パルス光ビームのスペクトル形状は、光ビームの各パルスの中心波長をベースライン波長から所定の繰り返しパターンでシフトさせることにより摂動されてもよい。パルス光ビームの性能パラメータは、光ビームの各パルスの中心波長がベースライン波長からどの程度シフトされるのかを変更することにより変更されてもよい。
本発明の方法は、ウェーハをスキャンする前に:1つ以上の予め露光されたウェーハの各露光フィールドにおけるスキャン内の物性を測定することと;パルス光ビームで露光されたウェーハ全体を横断して各露光フィールドについて測定された各物性を相関させるテーブルを作成することと;も含んでいてもよい。物性の決定値は、作成されたテーブルから現在の露光フィールドについての測定された物性を受信することにより受信されてもよい。光ビーム特性は、ウェーハの露光の開始の検出を受信することと;ウェーハの露光の終了の検出を受信することと;により受信されてもよい。
他の一般的な態様においては、フォトリソグラフィシステムは、パルス光ビームを発生する光学源と;パルス光ビームをフォトリソグラフィ露光装置のウェーハに配向してパルス光ビームでウェーハを露光する一組の光学部品と;ウェーハを横断してパルス光ビームをスキャンするように構成されたリソグラフィ露光装置内のスキャン光学系と;ウェーハのスキャンの際にウェーハにおけるパルス光ビームの特性を出力する、リソグラフィ露光装置内の監視モジュールと;ウェーハにおける一組のパルス光ビーム特性に対するウェーハの物性の一組の測定値を受信し、受信した決定値に基づいてパルス光ビームの目標性能パラメータとウェーハにおけるパルス光ビーム特性との相関を出力する、相関モジュールと;ウェーハにおけるパルス光ビーム特性の出力を受信するように監視モジュールに接続されるとともに相関レシピを受信するように相関モジュールに接続され、受信した光ビーム特性及び相関レシピに基づいて性能パラメータの値を出力するように構成された、性能パラメータモジュールと;性能パラメータの出力された値を受信するよう性能パラメータモジュールに接続されるとともに光学源の1つ以上の物理的フィーチャを作動させる光学源作動系に接続されて、受信した出力された値に基づいて光学源の1つ以上の性能パラメータを変更する、光学源モジュールと;を含む。
実装形態は以下の特徴のうち1つ以上を含んでいてもよい。例えば、フォトリソグラフィシステムは、1つ以上のウェーハを保持するウェーハホルダと;ウェーハにおける各光ビーム特性についてウェーハの物性を測定し、ウェーハにおける各光ビーム特性についてその測定した物性を出力する、検出系と;を含む計測モジュールも含んでいてもよい。相関モジュールは、計測モジュールからの出力を受信し計測モジュールからの出力に基づいて相関レシピを作成するよう接続されていてもよい。ウェーハにおける光ビーム特性は、ウェーハを露光するときのパルス光ビームの位置を含んでいてもよい。
リソグラフィ露光装置及び計測装置を含むフォトリソグラフィシステムのブロック図である。 図1のシステムの例示的なリソグラフィ露光装置のブロック図である。 露光の際の典型的なステップを示す、図2Aの例示的なリソグラフィ露光装置のブロック図である。 露光の際の典型的なステップを示す、図2Aの例示的なリソグラフィ露光装置のブロック図である。 図1のリソグラフィ露光装置の内部コントローラにより発生される例示的な信号対時間のグラフである。 図1のフォトリソグラフィシステムの光源により生成される例示的な光スペクトルのグラフである。 図1のフォトリソグラフィシステムの例示的な光源のブロック図である。 図1のフォトリソグラフィシステムの例示的な性能パラメータ系のブロック図である。 図6の性能パラメータ系の例示的な性能パラメータモジュールのブロック図である。 図7Aの性能パラメータモジュールの例示的な構成要素のブロック図である。 図1のリソグラフィ露光装置において使用可能な例示的な監視モジュールのブロック図である。 図1のリソグラフィ露光装置において使用可能な例示的な監視モジュールのブロック図である。 図1のフォトリソグラフィシステムの例示的な制御系のブロック図である。 図9の制御系内の相関モジュールにより受信される例示的なリスト及びその相関モジュールにより出力される例示的なリストを示す図である。 図1のフォトリソグラフィシステムを用いて撮像可能な例示的なウェーハの図である。 ウェーハにおける物性を図1のフォトリソグラフィシステムの光源からの性能出力を調整することにより調整する手順のフローチャートである。 ウェーハにおける光ビームの受信した特性と特定の光ビーム特性についてのウェーハの測定された物性とに基づいて光ビームの性能パラメータを変更するかどうか及びどのように変更するかを決定する手順のフローチャートである。 光ビームの性能パラメータを変更する手順のフローチャートである。 図12の手順を用いて光ビーム性能パラメータ(帯域幅)がどのように制御されるのかを示すグラフである。 各フィールドで帯域幅が変更されるとき、ウェーハの測定された物性がどのように変化するのかを示すチャートである。 一組のグラフであって、上のグラフは図12の手順を用いて光ビーム性能パラメータが各スリット番号についてどのように変動されるのかを示し、下のグラフは図12の手順を用いて変動されない光ビーム性能パラメータを示す。 図12の手順を用いて光ビーム性能パラメータ(エネルギ)がどのように制御されるのかを示すグラフである。 帯域幅が変更されるときウェーハの測定された物性が各々異なる範囲の帯域幅について各フィールドでどのように変動するのかを示すチャートである。 帯域幅が変更されるときウェーハの測定された物性が各々異なる範囲の帯域幅について各フィールドでどのように変動するのかを示すチャートである。 帯域幅が変更されるときウェーハの測定された物性が各々異なる範囲の帯域幅について各フィールドでどのように変動するのかを示すチャートである。 図12の手順を用いて光ビーム性能パラメータ(波長誤差)がウェーハの各フィールド番号についてどのように変動されるのかを示すグラフである。 図12の手順を用いて光ビーム性能パラメータ(波長誤差)がウェーハにおける光ビームの各パルスについてどのように変動するのかを示すグラフである。 光ビームによるウェーハの露光の際に異なる位置又は時間でとられた光ビームのスペクトル形状のグラフである。 光ビームによるウェーハの露光の際に異なる位置又は時間でとられた光ビームのスペクトル形状のグラフである。 光ビームの光スペクトルの位相が変更されてフィールド間ベースで光ビームのスペクトル形状を変更している、例示的なウェーハマップである。 ウェーハの物性(臨界寸法)が光ビームの性能パラメータ(焦点)に対してどのように変動するのかを示すグラフである。 ウェーハの物性(臨界寸法)が光ビームの性能パラメータ(焦点)に対してどのように変動するのかを示すグラフである。
図1を参照すると、フォトリソグラフィシステム100は、パルス光ビーム110をウェーハ120に配向する光学(又は光)源105を含む。また、フォトリソグラフィシステム100は、ウェーハ120を受容するリソグラフィ露光装置115と、リソグラフィ露光装置115及び光源105に接続された制御系185と、も含む。リソグラフィ露光装置115は、スキャン光学系125と、監視モジュール140と、内部コントローラ117と、を含む。監視モジュール140は、ウェーハ120がパルス光ビーム110に露光されている間、ウェーハ120におけるパルス光ビーム110の特性(例えば位置)を検出又は測定する。
フォトリソグラフィシステム100は、ウェーハ120を保持するウェーハホルダ122と検出系155とを含む計測装置145を備える。いくつかの実装形態においては、ウェーハホルダ122は1つ以上の予め露光されたウェーハ120を保持する。他の実装形態においては、ウェーハホルダ122は光ビームがウェーハ120をスキャンする間もウェーハ120を保持するので、こうした実装形態においては、計測装置145は実時間(すなわちウェーハの露光中)の計測を可能にする。
検出系155は、ウェーハにおける特定の光ビーム特性に対応するウェーハ120の物性を測定する。例えば、ウェーハ120の物性は、リソグラフィの際にウェーハ上に形成される物理的フィーチャのコントラスト、リソグラフィの際に光ビーム110にさらされるウェーハ領域の臨界寸法、リソグラフィの際に光ビーム110にさらされるウェーハ領域のフォトレジストプロファイル、リソグラフィの際にウェーハ上に形成される各層のオーバレイ、又はリソグラフィの際に光ビーム110にさらされるウェーハ領域のフィーチャの側壁角度であってもよい。例えば、光ビーム特性は、光ビームがリソグラフィの際にウェーハ120を露光する特定の位置であってもよい。この例においては、検出系155は、光ビームがウェーハを露光する各ウェーハ位置について物性を測定する。検出系155は、ウェーハにおける各光ビーム特性について1つ以上の測定された物性を含む一組のデータ160を出力する。検出系155により測定されるウェーハにおける光ビーム特性の数は、所望の制御量に基づいて選択されてもよく、あるいは測定される特定の物性に基づいていてもよい。
制御系185は、ウェーハにおける各光ビーム特性について測定された物性の出力160を、計測装置145の検出系155からから受信する。この受信した情報に基づいて、制御系185は、ウェーハ120上の各光ビーム特性について(フォトリソグラフィシステム100を用いて)予め処理されたウェーハ120の物理的形状に誤差があるかどうかを判定してもよく、ウェーハ形状におけるこうした誤差を補償するためにパルス光ビーム110の性能パラメータをどのように調整するかを決定してもよい。あるいは、制御系185は、ウェーハ形状を調整するために光ビーム110の性能パラメータをどのように調整するかを決定してもよい。ウェーハ形状とは、ウェーハ120が光ビーム110にさらされている間に起こるパターニングによってウェーハ120上に形成される幾何学的パターンである。したがって、この幾何学的パターンは、ウェーハにおける一連の彫刻、又は所望のパターンでの新たな材料の一連の堆積であってもよく、また、この幾何学的パターンは、ウェーハ及びウェーハから形成されたチップの使用を可能にするよう独特に造形されている。
性能パラメータ系150や1つ以上の測定系180など、フォトリソグラフィシステム100の他の構成要素は、制御系185に接続されている。例えば、性能パラメータ系150は、光源105からの光ビームを受けるとともに制御系185からの入力に基づいて光源105のスペクトル出力を精密に調整するスペクトル特徴モジュールを含んでいてもよい。1つ以上の測定系180は、例えば、光源105から出力される光ビーム110のスペクトル特徴(帯域幅及び波長など)又はエネルギといった特性を測定する。
リソグラフィ露光装置115内の現在のウェーハ120のスキャンの際、制御系185は、光ビーム110がウェーハ120をどのようにスキャンするのかを表す情報165を監視モジュール140から受信する。この情報は、光ビーム110がウェーハ120を露光するときの光ビーム110の特性(空間的位置又はエネルギなど)である。例えば、この情報は、光ビーム110がウェーハ120を露光している位置を判定するのに用いられてもよい。
制御系185は、監視モジュール140からの情報165と検出系155からの出力160との両方を用いて、光源105が発生するパルス光ビーム110の1つ以上の光学性能パラメータをどのように変更するかを決定する。このようにすれば、パルス光ビーム110の1つ以上の光学性能パラメータの変動又は摂動を用いて、物性又はリソグラフィ露光装置115内のウェーハのスキャンの際にしつこく発生するウェーハ120の物性の誤差を変更することができる。
このため、光源105は、ウェーハ120を露光している間に、且つリソグラフィ露光装置115に変更を行うことなく、ウェーハ内(例えばフィールド間(field−to−field))ベース又はフィールド内(例えばスリット間(slit−to−slit))ベースで駆動可能である。開示される技術は、ウェーハ間(wafer−to−wafer)、フィールド間、又はスリット間ベースでの実時間の任意のスペクトル生成を可能にすることができる。これによりウェーハ露光及びデータロギングの能力及び柔軟性を高めることが可能である。
図2Aも参照すると、ウェーハ120の露光の議論が記載されている。コントローラ117は、特定の特性及びタイミングを有する光ビーム110を供給するよう光源105に(例えば制御系185を介して)コマンドを提供することによって、ウェーハ120上に層がどのようにプリントされるのかを制御する。
リソグラフィ露光装置115はウェーハ120を搬送するステージ220を含んでおり、ウェーハ120が露光の際に光ビーム110から移動されることを可能にしている。リソグラフィ露光装置115は、例えば1つ以上の集光レンズと、マスク234と、対物レンズ装置232とを有する照明装置系を備えた光学配置210を含む。マスク234は、光ビーム110の光軸238に沿って、あるいは光軸238に垂直な平面内でなど、1つ以上の方向に沿って移動可能である。対物レンズ装置232は投影レンズを含み、マスク234からウェーハ120上のフォトレジストへの像転写の発生を可能にする。照明装置系はマスク234に入射する光ビーム110の角度の範囲も調節する。
「フィールド」とはウェーハ120の露光フィールド210を指し、露光フィールド210とは露光スリット又は窓200の1回のスキャンで露光されるウェーハ120の領域であって、露光窓200とは1回の照射量で露光されるウェーハ120の照明領域である。同領域(露光窓)を照明する光ビーム110のパルスの数は、リソグラフィ露光装置115内の1つ以上の露光スリットによって制御される。
露光の際、ウェーハ120は光ビーム110で照射される。工程プログラム又はプロセスレシピがウェーハ120上での露光の長さ、使用されるマスク234、ならびに露光に影響を及ぼす他の要因を決定する。
コントローラ117は、特定の特性及びタイミングを有する光ビーム110を供給するよう光源105に(例えば制御系185を介して)コマンドを提供することによって、ウェーハ120上に層がどのようにプリントされるのかを制御する。特に、コントローラ117は、1つ以上の信号を光源105へと送信することによって、光源105がいつパルス又はパルスのバーストを放射するのかを制御する。
このように、ウェーハ120における光ビーム特性が光ビームがウェーハ120を露光する位置である例においては、検出系155は、各フィールド、各スリット、又は一定数の光ビームのパルスについてウェーハの物性を測定してもよい。したがって、ウェーハ位置の数は、ウェーハフィールドあたり1乃至10箇所又はウェーハあたり1乃至10箇所と小さくてもよく、また、ウェーハフィールドあたり(あるいはスリットあたりベース又はパルスあたりベースであってもよい)100乃至300箇所と大きくてもよい。
例えば、図3を参照すると、コントローラ117は、新たなウェーハ120がステージ220上に載置されたと判断すると、光源105にウェーハ露光を開始するよう伝えるウェーハ露光信号300を光源105に送信するよう構成されていてもよい。ウェーハ露光信号300は、ウェーハが露光されている間は高い値305(例えば5などの整数)を有していてもよく、ウェーハ露光の終わりで低い値310(例えば0)に切り替わってもよい。また、コントローラ117はゲート信号315を光源105に送信する。ゲート信号315はパルスのバーストの間中は高い値320(例えば1)を有し、バーストとバーストとの間の時間には低い値325(例えば0)を有する。バーストのパルス数は同じ露光窓を照明する光ビーム110のパルス数と同じであってもよく、したがってフィールドと同じであってもよい。実装形態によっては、バースト(又はフィールド)の値は数百、例えば100乃至400パルスである。コントローラ117は光源105にトリガ信号330も送信する。トリガ信号330は、光源105の各パルスについては高い値(例えば1)を有し、連続する各パルスの間の時間については低い値(例えば0)を有する。
リソグラフィの際、光ビーム110の複数のパルスはウェーハ120の同じ領域を照射して照射量(illumination dose)を形成する。スリット200の大きさはマスク234の前に配置された露光スリット205により制御される。スリット205はシャッタのように設計されていてもよいし、開閉可能な複数のブレードを含んでいてもよく、その場合、露出領域の大きさは、スキャン方向及び非スキャン方向のブレード間の距離によって決定される。いくつかの実装形態においては、Nの値は数十、例えば10乃至100パルス、10乃至70パルス、又は10乃至50パルスである。他の実装形態においては、Nの値は数百、例えば100乃至400パルスである。
マスク234と、対物レンズ装置232と、ウェーハ120とのうち1つ以上は、露光フィールド210を横切って露光窓200をスキャンするべく、露光の際に互いに対して移動されてもよい。例えば、図2B及び2Cはスキャン時の2つの例示的なステップを示す。
パルス光ビーム110の光学性能パラメータは、光ビーム110のエネルギと、波長又は帯域幅といった光ビーム110のスペクトル特性と、光ビーム110のエネルギ又はスペクトル特性の誤差とを含む。以下は、光ビーム110のスペクトル特性及び光源105の議論である。
図4を参照すると、光源105が発生したパルス光ビーム110の光スペクトル(又は発光スペクトル)400は、光エネルギ又は電力が異なる波長にわたってどのように分布しているのかについての情報を含む。光ビーム110の光スペクトル400は図表の形で示されており、ここで、スペクトル強度405(必ずしも絶対校正を伴わない)は波長又は光周波数410の関数として描画されている。光スペクトル400は光ビーム110のスペクトル形状又は強度スペクトルと称されてもよい。光ビーム110のスペクトル特性又は特徴は強度スペクトルの任意の態様又は表示を含む。例えば、帯域幅はスペクトル特徴である。光ビームの帯域幅はこのスペクトル形状の幅の測定基準であり、この幅はレーザ光の波長又は周波数で表されてもよい。光ビームの帯域幅を特徴づける値を推定するためには、光スペクトル400の詳細に関する任意の適切な数学的構成(すなわちメトリック)が用いられてもよい。例えば、スペクトル形状の最大ピーク強度の断片(X)におけるスペクトル全幅(FWXMと称される)を用いて光ビーム帯域幅を特徴づけてもよい。別の一例としては、積分スペクトル強度の断片(Y)を含むスペクトルの幅(EYと称される)を用いて光ビーム帯域幅を特徴づけてもよい。
図5を参照すると、例示的な光源505は、光ビーム110としてパルスレーザビームを発生するパルスレーザ源である。図5の例に示されるように、光源505は、シード光ビーム502を電力増幅器(PA)510に提供する主発振器(MO)500を含む2ステージレーザシステムである。主発振器500は、典型的には、増幅が生じる利得媒質と、光共振器などの光フィードバック機構とを含む。電力増幅器510は、典型的には、主発振器500からのシードレーザビームでシードされたときに増幅が生じる利得媒質を含む。電力増幅器510は、再生リング共振器として設計されている場合には電源リング増幅器(PRA)として記載され、その場合、リング設計から十分な光フィードバックが提供され得る。主発振器500は、比較的低い出力パルスエネルギでの中心波長及び帯域幅などのスペクトルパラメータの精密な調整を可能にする。電力増幅器510は主発振器500からの出力を受信し、この出力を増幅して、フォトリソグラフィにおいて用いる出力に必要な電力を得る。
この例においては、性能パラメータ系150はスペクトル特徴選択モジュール551を含み、1つ以上の測定系180は、制御系185に接続された測定系580’及び580’’を含む。測定系580’は出力カプラ515からの出力を受信する線中心分析モジュール(LAM)である。
主発振器500は、2つの細長の電極と、利得媒質のはたらきをするレーザガスと、電極間でガスを循環させるためのファンとを有する放電チャンバを含み、レーザ共振器が放電チャンバの一方の側のスペクトル特徴選択系550と放電チャンバの第2の側の出力カプラ515との間に形成される。1つ以上のビーム変更光学系525は、必要に応じてレーザビームの大きさ及び/又は形状を変更する。線中心分析モジュール580’は、シード光ビーム502の波長を測定又は監視するのに用いられ得る種類の測定系180の一例である。線中心分析モジュールは光源505内の他の位置に配置されてもよく、あるいは光源505の出力に配置されてもよい。
放電チャンバにおいて用いられるレーザガスは、必要な波長及び帯域幅を中心とするレーザビームを発生するのに適した任意のガスであってもよい。例えば、レーザガスは、約193nmの波長で光を放射するフッ化アルゴン(ArF)であってもよいし、あるいは約248nmの波長で光を放射するフッ化クリプトン(KrF)であってもよい。
電力増幅器510は、電力増幅器放電チャンバを含むとともに、再生(再循環)リング増幅器である場合には、光ビームを反射して放電チャンバへと戻し循環路を形成するビーム反射部530も含む。電力増幅器放電チャンバは、一対の細長の電極と、利得媒質のはたらきをするレーザガスと、電極間でガスを循環させるためのファンとを含む。シード光ビーム502は電力増幅器510を繰り返し通過することにより増幅される。ビーム変更光学系525は、シード光ビームをインカップル(in−couple)し電力増幅器からの増幅された放射線の一部をアウトカップル(out−couple)して出力光ビーム110を形成する手段(例えば部分反射鏡)を提供する。
測定系580’’は、光ビーム110のベースライン光スペクトルを発生するために用いられる。いくつかの実装形態においては、測定系580’’は、独国ベルリンのLTBレーザテヒニーク・ベルリンGmbHにより製造されたELSASエシェル分光器のようなグレーティング分光器を含んでいてもよい。他の実装形態においては、測定系580’’はスペクトル特性のオンボード実時間測定のために用いられてもよく、光ビーム110の経路に沿って配置されたビーム分岐装置から再配向される光ビーム110の一部を受けるエタロン分光器を備える。エタロン分光器は、光ビーム部分が透過するエタロンを含む光学配置と、光学配置からの出力光を受ける検出器とを備える。検出器の出力は制御系185に接続される。このようにして、制御系185は検出器により記録された各光スペクトル400を受信する。
再び図2Aを参照すると、ウェーハ120上には、例えばウェーハ上に放射線感応性フォトレジスト材料の層を堆積し、次いでそのフォトレジスト層の上にパターニングされたマスク234を位置決めし、それからマスクされたフォトレジスト層を選択された放射線(すなわち光ビーム110)にさらすことによって、マイクロ電子フィーチャが形成されてもよい。その後、ウェーハ120は水性ベース又は溶剤などの現像剤にさらされる。すると、フォトレジスト層のうち現像剤に対する耐性のある部分がウェーハ120上に残り、フォトレジスト層の残りは現像剤によって除去されて、下にあるウェーハ120の材料が露出される。
ウェーハ120は追加的な工程ステップを用いて処理されてもよく、これらはエッチング、堆積、及びウェーハ120の材料又はウェーハ120上に堆積された材料に開口(溝、チャネル、又は孔など)のパターンを作り出すための異なるマスク234によるリソグラフィ工程などの工程ステップのうち1つ以上の組み合わせであってもよい。これらの開口は、絶縁性材料、導電性材料、又は半導電性材料で充填されて、ウェーハ120上にマイクロ電子フィーチャの層を構成してもよい。次に、ウェーハ120は個片化されて個々のチップを形成し、これがコンピュータ及び他の消費者用又は産業用電子装置など、多種多様な電子製品に組み込まれてもよい。
ウェーハ120内に形成されたマイクロ電子フィーチャの大きさが(例えばウェーハ120により形成されるチップを小型化するために)縮小するにつれて、フォトレジスト層内に形成されるフィーチャの大きさもまた縮小しなければならない。臨界寸法(CD)は半導体基板(ウェーハ120)にプリントされる必要のあるフィーチャの大きさであり、したがってCDは厳密な寸法制御を要する。
CDを小さくする1つの手法は、対物レンズ装置232内の投影レンズの開口数(NA)を増加することである。しかしながら、投影レンズのNAが増加するにつれて、ウェーハ120上に投影されるマスク234の像は、孤立したフィーチャにおける焦点深度(DOF)を失う。製造工程は焦点の変動を要することから、処理済みウェーハのより高い歩留まりを達成するためにはDOFが必要である。DOFが低くなる結果、処理済みウェーハの歩留まりは容認できないほど低くなり得る。
(対物レンズ装置232において用いられる)ほとんどの投影レンズは、光源105の波長誤差が存在する場合にウェーハ120上での結像誤差を発生させる色収差を有する。色収差により引き起こされる1つの誤差は焦点誤差であり、他の誤差ははるかに小さくなる傾向がある。例えば、光ビーム110の波長が目標波長から外れていれば、ウェーハ120上の像は深刻な焦点面誤差を有するであろう。
図6を参照すると、制御系185からの出力を受信して光源105に関連する1つ以上の性能パラメータを制御又は変更する例示的な性能パラメータ系650が示されている。性能パラメータ系650は1つ以上の性能パラメータモジュール651(例えば651A,651B,651C,…651x)を含む。制御されるべき性能パラメータに応じて特定の性能パラメータモジュール851が選択されて、光源105のその性能パラメータを変更し又は変化させてもよい。各性能パラメータモジュール651は、ファームウェア及びソフトウェアの任意の組み合わせの形で電子機器を含む独自のコントローラ(652A,652B,852C,…652x)を備えていてもよい。各性能パラメータモジュール651は作動系(654A,654B,654C,…654x)の独自の組を含んでいてもよく、これは光源105の各フィーチャ(666A,666B,666C,…666x)に結合されたアクチュエータを含む。これらのアクチュエータは、制御されるべき光源のフィーチャに応じて、例えば機械的、電気的、光学的、熱的、液圧の任意の組み合わせであってもよい。光源105のこれらの各フィーチャ(666A,666B,666C,…666x)を制御することによって、各性能パラメータを調整することができる。
図6には一組の性能パラメータモジュール651が示されているが、性能パラメータ系650は、1つのみの性能パラメータモジュール又は任意の数の性能パラメータモジュールを含むことが可能である。
図7Aを参照すると、性能パラメータモジュール651の一例であるスペクトル特徴モジュール751がブロック図の形で示されている。例示的なスペクトル特徴モジュール751は光源105からの光に結合する。実装形態によっては、スペクトル特徴モジュール751は、主発振器500からの光を受けて、主発振器500内での波長及び帯域幅などのパラメータの精密な調整を可能にする。
スペクトル特徴モジュール751は、ファームウェア及びソフトウェアの任意の組み合わせの形で電子機器を含むスペクトル特徴コントローラ752などのコントローラを備えていてもよい。コントローラ752は、スペクトル特徴作動系754,756,758のような1つ以上の作動系に接続されている。3つの作動系が示されているが、3つよりも少ない又は多い作動系が存在していてもよい。作動系754,756,758の各々は、光学系766の各光学的フィーチャ760,762,764に接続された1つ以上のアクチュエータを含んでいてもよい。光学的フィーチャ760,762,764は、発生された光ビーム110の特定の特性を調整し、それによって光ビーム110のスペクトル特徴を調整するよう構成されている。コントローラ752は(後述するように)制御系185から制御信号を受信し、この制御信号は作動系754,756,758のうち1つ以上を操作又は制御するための特定のコマンドを含んでいる。作動系754,756,758は協働すなわちタンデムで作用するよう選択及び設計されていてもよい。また、作動系754,756,758の各々は、スペクトル特徴に対する特定のクラスの擾乱又は変更に応答するよう最適化されてもよい。たとえ光源105が幅広いアレイ擾乱にさらされるとしても、スペクトル特徴(波長又は帯域幅など)を所望の設定点又は少なくとも設定点周辺の所望の範囲内に保持又は維持するために、そのような協調及び協力が併せて制御系185により用いられてもよい。又は、この協調及び協力は、後述するように、光ビーム110のスペクトル形状を合成して合成スペクトル形状を形成するようスペクトル特徴(波長など)を変更するために、制御系185により用いられてもよい。あるいは、この協調及び協力は、ウェーハ120上に形成された物理的フィーチャの誤差を補正するようスペクトル特徴(帯域幅など)を変更するために、制御系185により用いられてもよい。
各光学的フィーチャ760,762,764は、光源105が発生する光ビーム110に光学的に結合される。いくつかの実装形態においては、光学系766は、図7Aのスペクトル特徴モジュール751の例示的な光学部品のブロック図である図7Bに示すようなライン狭隘化モジュールである。ライン狭隘化モジュールは、光学的フィーチャ760,762,764として、反射グレーティング780のような分散光学素子と、1つ以上が回転可能であってもよいプリズム782,784,786,788のような屈折光学素子とを含む。このライン狭隘化モジュールの一例は、「光源帯域幅を選択及び制御するためのシステム、方法及び装置」と題され2012年3月27日に交付された米国特許第8,144,739号(’739特許)に見受けられ、同特許は参照によりその全体が本明細書に組み込まれる。’739特許には、ビームエキスパンダ(1つ以上のプリズム782,784,786,788を含む)と、グレーティング780のような分散素子とを含むライン狭隘化モジュールが記載されている。グレーティング780のような作動可能な光学的フィーチャの各作動系及びプリズム782,784,786,788のうち1つ以上は、図7Bには示されていない。
作動系754,756,758のアクチュエータの各々は、光学系の各光学的フィーチャ760,762,764を移動又は制御する機械装置である。アクチュエータはモジュール752からエネルギを受け取り、そのエネルギを光学系の光学的フィーチャ760,762,764に付与される何らかの運動に変換する。例えば、’739特許には、(グレーティングの領域に力を印加するための)力装置及びビームエキスパンダのプリズムのうち1つ以上を回転させる回転ステージなどの作動系が記載されている。作動系754,756,758は、例えば、ステッパモータなどのモータ、弁、圧力制御された装置、圧電装置、リニアモータ、液圧アクチュエータ、ボイスコイル等を含んでいてもよい。
スペクトル特徴選択系750は、光学系の1つの光学的フィーチャに結合された1つの作動系しか含まず、光学系の他の光学的フィーチャは作動されないままであることがあり得る。例えば、図7Bにおいて、ライン狭隘化モジュールは、プリズムのうち1つのみ(プリズム782など)がその作動系と結合することにより作動されるように設定されてもよく、プリズム782は圧電装置の制御の下で可動であってもよい。例えば、プリズム782は、モジュール752により制御される圧電装置の制御の下で移動可能なステージに載置されてもよい。
別の種類の性能パラメータモジュール651は、例えば、光源105から出力される光ビーム110のエネルギを制御するエネルギモジュールであり得る。いくつかの実装形態においては、エネルギは、ガス放電チャンバ(例えば主発振器500内のガス放電チャンバ又は電力増幅器510のガス放電チャンバ)のうち1つ以上への電圧を制御することによって制御される。他の実装形態においては、エネルギは、主発振器500及び電力増幅器510の各々における放電の間の相対的なタイミングを調整することにより制御される。この場合、制御系185はエネルギの目標値を受信する。あるいは、監視モジュール140が性能パラメータの目標値からのずれを監視し、コントローラ117が光源105内の電圧及びタイミング又は他のフィーチャを調整して光ビーム110のエネルギを変更及び制御する。
再び図1を参照すると、計測装置145は、例えば1nmよりも小さなフィーチャサイズを表示することができるよう高解像度結像用に設計された、高分解能スキャン電子顕微鏡(SEM)のような自己完結型システムであってもよい。SEMは、焦束された電子のビームでウェーハ120をスキャンすることによってサンプル(この場合ウェーハ120)の像を結像する、一種の電子顕微鏡である。電子はウェーハ120内の原子と相互作用して、検出可能であり且つウェーハの表面形状及び組成物についての情報を含む信号を発生する。電子ビームはラスタスキャンパターンでスキャンされてもよく、電子ビームの位置が、検出された信号と組み合わされて、像を結ぶ。SEMは1ナノメートル(nm)よりも良好な解像度を達成することができる。ウェーハ120は、高真空中、低真空中、(環境SEMにおいては)ウェット状態、及び広範囲の極低温又は高温など、任意の適当な環境で観察されてもよい。検出の最も一般的なモードは、電子ビームにより励起された原子によって放射される二次電子によるものである。二次電子の数は、ウェーハ120の表面と電子ビームとの間の角度の関数である。他のシステムにおいては、後方散乱電子又はx線が検出され得る。
例えば、SEMはウェーハを撮像するよう特に設計されたCD−SEMであってもよい。計測装置145として使用可能な適当な自己完結型システムは、米国カリフォルニア州サンタクララのアプライド・マテリアルズ・インクによるVeritySEM(商標)、又は日本国東京都港区の株式会社日立ハイテクノロジーズによるCGシリーズのCDSEM(CG5000など)である。
他の実装形態においては、計測装置145は、エネルギのパルスをウェーハ120に向かって伝送しウェーハ120からの反射又は回折されたエネルギを測定するスキャトロメータである。スキャトロメータは、オーバレイ、焦点、及びCDの測定を1つのセンサで組み合わせることができる。いくつかの実装形態においては、計測装置145は、YieldStar S−250D(オランダ国フェルトホーフェンのASMLネザーランズB.V.により製造)であり、これは、回折ベースのオーバレイ及び回折ベースの焦点技術ならびにCDを測定するための自由選択の能力を用いたオンプロダクト(on−product)でのオーバレイ及び焦点の測定を可能にするスタンドアロンの計測ツールである。
いくつかの実装形態においては、計測装置145は、ウェーハ120の各層に配置された材料の別々のパターンが正確に位置合わせされているかどうかを判定するオーバレイ計測装置である。例えば、オーバレイ計測装置は、ウェーハの各層の接点、ライン、及びトランジスタが互いに整列しているかどうかを判定する。パターン間の位置ずれはいかなるものであっても短絡及び接続不良を引き起こし得るものであり、これは一方で歩留まり及び利益率に影響を与えるであろう。したがって、実際には、オーバレイ計測装置はウェーハ120上に各層が形成された後、しかし第2層が形成された後で、使用される。オーバレイ計測装置は、ウェーハ上の先に形成された層に対するウェーハ上の直近に形成された(すなわち現在の)層の相対位置を測定し、ここで、直近に形成された層は先に形成された層の上に形成されるものである。現在のウェーハ層と先に形成されたウェーハ層との相対位置は、(ウェーハ120において測定される光ビーム110の特性が位置に対応する場合には)光ビームがウェーハを露光する各位置について測定される。例示的なオーバレイ計測装置は、米国カリフォルニア州ミルピタスのKLA−テンコール・コーポレーションによるArcher(商標)500シリーズである。
上述のように、監視モジュール140は、実時間で、光ビーム110がどのようにウェーハ120をスキャンするのかを表す情報164を制御系185に提供する。この情報は、ウェーハ120を露光するときの光ビーム110の特性である。いくつかの実装形態においては、この情報は露光スキャンの際に、したがって実時間で、光ビーム110がウェーハ120を露光している位置を判定するために用いられ得る。また、この情報はウェーハのスキャンの間定期的に提供されてもよく、例えば、ウェーハのスキャンの間設定された回数にわたってウェーハの各フィールドに、あるいは設定された回数にわたってウェーハの1つのフィールド内で、提供されるなどしてもよい。
図8Aを参照すると、いくつかの実装形態においては、監視モジュール140は、(リソグラフィ露光装置115の)コントローラ117から光源105に送信される1つ以上の信号を監視又は観察するよう配置された信号モニタ840’を含む。例えば、再び図3を参照すると、信号モニタ840’は、以下の例示的な信号のうち1つ以上を検出するよう構成されていてもよい。:ウェーハ露光信号300が高い値305であるとき;ゲート信号315が低い値325であるとき;トリガ信号330が低い値でありゲート信号315が高い値320であるとき;ウェーハ露光信号300が高い値305から低い値310へと切り替わるとき;又はトリガ信号330が一定の回数(スリットに対応する回数など)高い値であった後で低い値に切り替わるとき。
図8Bを参照すると、他の実装形態においては、監視モジュール140は、ウェーハ120を露光する直前の光ビーム110のエネルギを検出するようリソグラフィ露光装置115内に配置されたエネルギ又は電力センサ840’’を含む。センサ840’’は、例えばフォトダイオード電力センサ、熱電力センサ、又は焦電型エネルギセンサであってもよい。センサ840’’は、光ビーム110を光源105とウェーハ120との間の経路上で反射する光学素子に配置されていてもよい。
図9を参照すると、本明細書に記載のシステム及び方法の態様に関する、制御系185についての詳細が提示されている。制御系185は、図9に示されていない他のフィーチャを含んでいてもよい。一般に、制御系185は、デジタル電子回路、コンピュータハードウェア、ファームウェア、及びソフトウェアのうち1つ以上を含む。
具体的には、制御系185はメモリ900を含み、これは読み出し専用メモリ及び/又はランダムアクセスメモリであってもよい。コンピュータプログラム命令及びデータを有形に具現化するのに適した記憶装置は、例えば、EPROM、EEPROM、及びフラッシュメモリ装置などの半導体メモリ装置;内部ハードディスク及びリムーバブルディスクなどの磁気ディスク;光磁気ディスク;及びCD−ROMディスクといった、あらゆる形態の不揮発性メモリを含む。制御系185はまた、1つ以上の入力装置905(キーボード、タッチスクリーン、マイクロフォン、マウス、手持ち式入力装置など)と、1つ以上の出力装置910(スピーカ又はモニタなど)と、も含んでいてもよい。
一般的に、制御系185は、1つ以上のプログラム可能プロセッサ915と、プログラム可能プロセッサ(プロセッサ915など)による実行のために機械読み取り可能な記憶装置において有形に具現化される1つ以上のコンピュータプログラム製品920とを含む。1つ以上プログラム可能プロセッサ915は、入力データに作用し適切な出力を生成することによって所望の機能を実行するよう、各々が命令のプログラムを実行してもよい。一般的に、プロセッサ915はメモリ900から命令及びデータを受信する。前述のものはいずれも、特別に設計されたASIC(特定用途向け集積回路)により補足され又はこれらに組み込まれ得る。
具体的には、制御系185は、相関モジュール925(プロセッサ915のような1つ以上のプロセッサにより実行される一組のコンピュータプログラム製品であってもよい)及び性能パラメータモジュール930(プロセッサ915のような1つ以上のプロセッサにより実行される一組のコンピュータプログラム製品であってもよい)を含む。相関モジュール925は計測装置145内の検出系155から出力160を受信する。性能パラメータモジュール930は、光ビーム110がどのようにウェーハ120をスキャンするのかについての監視モジュール140からの実時間の情報165と、相関モジュール925から出力された情報935と、性能パラメータの目標値937とを受信する。制御系は、光源モジュール945及び光源作動系950も含む。光源モジュール945は、性能パラメータモジュール930からの目標性能パラメータ940と、測定系180からの性能パラメータの測定値とを受信する。光源モジュール945の出力は、光源作動系950に対して、光源105のフィーチャをどのように調整するのかを示す。光源作動系950は光源105と性能パラメータ系150とに接続される。
図9は制御系185を構成要素のすべてが物理的に同一場所に配置されているように見えるボックスとして表しているが、制御系185は物理的に互いに離隔した構成要素で構成されることが可能である。例えば、光源モジュール945及び光源作動系950は光源110と物理的に同一場所に配置されていてもよく、相関モジュール925は、光源モジュール945とメモリ900とプロセッサ915とから離隔した、別個に収容されたコンピュータであってもよい。
図10を参照すると、相関モジュール925により受信された出力160は、ウェーハにおける各パルス光ビーム特性1004についてのウェーハ120の測定された物性1002のリスト1000になっている。ウェーハ120の測定された物性1002の例は、ウェーハ上に形成されたフィーチャのコントラスト、ウェーハ位置における線幅又は臨界寸法(CD)、フォトレジストプロファイル、側壁角度、及び最終的なレジスト厚さを含む。物性1002は、物性の曲線又はプロット(ボサング曲線(Bossung curve)など)対ウェーハ120を露光する光ビームの特質(焦点又は量など)というコンテクスト内で分析されてもよい。
ウェーハにおけるパルス光ビーム特性1004は、光ビームがウェーハ120を露光する位置であってもよい。この場合、出力160は、ウェーハ上の各位置1004についてのウェーハ120の測定された物性1002のリスト1000である。図11も参照すると、位置は例示的なウェーハ1120の各露光フィールドでとられてもよく、この例においては、各露光フィールドに通し番号が振られていて、ウェーハ1120上には42個のフィールドがある。したがって、検出系155は、計測装置145内のウェーハ1120上の各フィールドにおいて物性1002を測定する。相関モジュール925は、光ビーム110の性能パラメータ1012を各パルス光ビーム特性1004と相関させるリスト1010を出力する。相関モジュール925は、特定のパルス光ビーム特性1004において物性1002を変更又は補正するであろう光ビーム110の性能パラメータ1012を決定する。例えば、特性1004がウェーハ1120上の位置であり、測定された物性1002がCDの誤差である場合には、相関モジュール925は、ウェーハ1120上の各位置でCDの誤差を補償するために光ビーム110の帯域幅(性能パラメータ)をどのように調整するのかを決定し得る。作成されたリスト1010は、性能パラメータモジュール930に送信される情報935である。したがって、相関モジュール925は、リソグラフィ露光装置115内の特性(光学特性など)又は構成要素を変更することなく、ウェーハ120上に現れる物理的な誤差を補償するためにパルス光ビーム110の1つ以上の性能パラメータをどのように調整するかを決定することができる。
図12を参照すると、フォトリソグラフィシステム100は、ウェーハ内(例えばフィールド間)又はフィールド内(例えばパルス間(pulse−to−pulse))ベースで光源からの性能出力を変更又は調整することによりウェーハにおける物性を調整する手順1200を実行する。手順1200のステップのうち1つ以上は制御系185及び/又はコントローラ117によって実行されてもよい。
初めに、信号が光源105へと送信され、一組のパルスとしての光ビーム110を発生する(1205)。例えば、光源モジュール945は、ウェーハ露光信号300、ゲート信号315、及びトリガ信号330のうち1つ以上をコントローラ117から受信し、これらの信号の値に基づいて光源作動系950に命令し、光源105のフィーチャを作動させてパルスのバースト状の光ビーム110を発生するよう構成されていてもよい。発生された光ビーム110はウェーハ120を横断してスキャンされる(1210)。例えば、コントローラ117及び制御系185は、パルス光ビーム110の発生をリソグラフィ露光装置115内の構成要素と関連付けて、N個のパルスがウェーハ120の各フィールドを照明するようにウェーハ120の露光フィールド210を横断して露光窓200をスキャンするための露光の際に、マスク234、対物レンズ装置232及びウェーハ120のうち1つ以上を互いに対して移動させてもよい。
光ビーム110がウェーハ120を横断してスキャンされている間に(1210)、制御系185(及び特に性能パラメータモジュール930)は監視モジュール140から情報165を受信する。この情報は、スキャンの際の時間的なステップでのウェーハ120におけるパルス光ビーム110の特性である(1215)。したがって例えば、制御系185は、例えば露光フィールド内の特定のパルスについて、又はウェーハの特定の露光フィールドについて、スキャンの際のその時間的なステップにおけるパルス光ビーム110の位置を表す値を受信する。性能パラメータをパルス間ベースで制御するのが望ましい場合には、時間的なステップは単一のパルスの時間内であってもよい。時間的なステップは単一の露光フィールドの時間内であってもよい(したがってN個のパルスにわたって続いてもよく、これは約100パルスであってもよい)。時間的なステップは単一のスリットの時間内であってもよく、これは複数のパルスを有するが露光フィールドの大きさよりも小さく、したがって1とフィールド内のN個のパルスの一部である数との間のいくらかの値にわたって続いてもよい。
制御がフィールド間ベースである例においては、監視モジュール140が図8Aの監視モジュール840’のように設計されている場合、制御系185は監視モジュール840’から、ゲート信号315が高い値320から低い値325に切り替わったことを示す信号を受信してもよい。そのような情報は、光ビーム110がウェーハ120上の露光フィールドの端にあることを意味するものであり、したがって制御系185にウェーハ120に対する光ビーム110の位置についての情報を提供する。制御がパルス間ベースである別の一例においては、制御系185は監視モジュール840’から、トリガ信号330がちょうど高い値から低い値へと切り替わりゲート信号315が高い値320であることを示す信号を受信してもよい。この情報は、光ビーム110のパルスが現在の露光フィールド内で終了したことを意味する。
制御系185(及び具体的には相関モジュール925)は、検出系155からの出力160、すなわち特定の光ビーム特性1004についてのウェーハ120の物性の決定値1002を受信する(1220)。一般に、各光ビーム特性1004についてのウェーハ120の物性の決定値1002はリスト1000として受信され、これは計測装置145からの出力160の中にあって、先に露光されたウェーハの分析及び測定に基づいている。計測装置145は、ウェーハ120の物性を実時間で、ステージ220に載置された現在のウェーハ120の露光の際に測定するように、リソグラフィ露光装置115内に統合されることが可能である。
制御系185(及び具体的には性能パラメータモジュール930)は、監視モジュール140から受信した情報165(ウェーハ120におけるパルス光ビーム110の特性)(1215)と、検出系155から受信した特定の光ビーム特性1004についてのウェーハ120の物性の決定値1002(1220)とに基づいて、光ビーム110の性能パラメータを変更するかどうか及びどの程度変更するかを決定する(1225)。制御系が、性能パラメータが変更される必要があると決定する場合には(1225)、制御系185は、ウェーハ120を横断するスキャンの際にパルス光ビーム110の性能パラメータを変更する(1230)。特に、制御系185は、パルス光ビーム110の性能パラメータを、現在の時間ステップの後、次の時間ステップの前に変更してもよい。時間ステップが光ビーム110のパルス全体である場合には、性能パラメータの変更は、現在のパルスの後、しかし光ビーム110の次のパルスが放射される前に行われてもよい。時間ステップがフィールド全体である場合には、性能パラメータの変更は、現在の露光フィールドが完了した後、しかし次の露光フィールドが開始する前に行われてもよい。したがって、図3を参照すると、例えば時間ステップがフィールド全体Fである場合には、変更は、ウェーハ120の2つの露光フィールドの間の時間である時間(Tmod)に行われてもよい。
制御系185は、ウェーハ120のスキャンが完了したかどうかを判定する(1235)。例えば、制御系185(具体的には性能パラメータモジュール930)は監視モジュール840’から、ウェーハ露光信号300が(ウェーハが露光されていることを示す)高い値305からウェーハ露光の終了を示す低い値310へと切り替わったことを示す信号を受信してもよい。監視モジュール840’からの信号が高い値305である場合には、ウェーハ120のスキャンは完了しておらず(1235)、スキャンが継続する(1210)。監視モジュール840’からの信号がウェーハ露光信号300が高い値305から低い値310に切り替わったことを示す場合には、ウェーハ120のスキャンは完了し1235、ウェーハ120の後処理がオフラインで実行される(1240)。
図13を参照すると、制御系185は、ウェーハ120におけるパルス光ビーム110の受信した特性(1215)と、特定の光ビーム特性1004についてのウェーハ120の物性の受信した決定値1002(1220)とに基づいて、光ビーム110の性能パラメータを変更するかどうか及びどの程度変更するかを決定する手順1225を実行してもよい。制御系185(具体的には性能パラメータモジュール930)は、ウェーハにおける光ビーム特性の関数(相関モジュール925により生成される)としての性能パラメータのリスト又は組にアクセス(1300)し;アクセスされた組内で、現在のウェーハ120における光ビームの(監視モジュール140から)受信した特性に対応する性能パラメータの値を選択し(1305);選択された性能パラメータの値をパルス光ビーム110の性能パラメータの現在の測定値937と比較する(1310)。さらに、選択された性能パラメータの値が現在の値と一致しない場合には、制御系185(具体的には性能パラメータモジュール930)は、現在の目標性能パラメータが選択された性能パラメータの値に一致するよう調整される必要があると判定する(1315)。
図14を参照すると、パルス光ビームの性能パラメータは、手順1230を実行することにより変更可能である(1230)。制御系185(具体的には性能パラメータモジュール930)は光ビーム110の目標性能パラメータを変更する(1400)。制御系185(具体的には光源モジュール945)は測定系180からパルス光ビーム110の性能パラメータの測定を受信し(1405)、測定された性能パラメータが変更された目標性能パラメータ940と一致するかどうかを判定する(1410)。測定された性能パラメータは、変更された目標性能パラメータと等しいか、あるいは測定された性能パラメータと変更された目標性能パラメータとの差が所定の閾値よりも小さい場合には、変更された目標性能パラメータと一致すると見なされてもよい。
例えば、性能パラメータが光ビーム110の帯域幅である特定の適用例においては、(E95メトリックを用いて測定された)帯域幅は、目標帯域幅を中心として±30フェムトメートル(fm)以内に制御され得る。別の一例として、性能パラメータが光ビーム110の平均波長誤差である特定の適用例においては、波長誤差は目標波長誤差を中心として±6fm以内に制御され得る。さらなる一例として、性能パラメータが光ビーム110のエネルギ安定性(例えばエネルギ誤差)である特定の適用例においては、エネルギ誤差は目標エネルギ誤差の4%以内に制御され得る。
制御系185(具体的には光源モジュール9450が、測定された性能パラメータが変更された目標性能パラメータと一致しないと判定する場合には(1410)、制御系185は光源作動系950により光源105に信号を送信してパルス光ビーム110の性能パラメータを変更する(1415)。
手順1200を実行することによって、ウェーハ120におけるパターニングの誤差は、リソグラフィ露光装置115内のフィーチャ又は光学部品を変更することなく、光ビーム110の性能パラメータを変更することにより補正され得る。
図15A及び15Bを参照すると、いくつかの実装形態においては、手順1200を用いて制御される光ビーム性能パラメータは、光ビーム110の帯域幅(レーザパルスエネルギの積分エネルギのX%すなわちEXを含むスペクトル幅などの適当なメトリックを用いて測定され又は特徴づけられる。ただし、Xは95であってもよい)であり、フィールド間ベースで制御される(つまり、この手順における時間ステップは各露光フィールドで行われる)。この例においては、光ビーム110の帯域幅はウェーハ120の各フィールドについて調整される。図15Aには、相関モジュール925から出力された露光フィールド1004に相関する帯域幅1012の例示的なリスト1010が、グラフ1510で示されている。図15Bには、予め露光されたウェーハ120の各露光フィールド1004について測定された物性(例えば臨界寸法)がウェーハ概略図で示されており、ここで、色は臨界寸法の値に対応しており、各露光フィールドについて公称値ゼロ(0)、緑色から変化する。図15Bのデータは、300fm乃至1600fmで変動する帯域幅で動作する光源110により露光されたウェーハについて計測装置145によってとられたものであり、帯域幅の値はウェーハの各フィールドについて図15Aに示されるように変化する。赤又は青のフィールドについては、臨界寸法は公称値から外れている。この情報は、ウェーハにおける測定された物性を相殺するために帯域幅をどのように調整するかを決定するために用いられてもよい。リスト1010(図15Aに示される)は、ウェーハ120の各フィールドにおける臨界寸法を変更又は補正するために、帯域幅1012が各露光フィールドについてどのように調整される必要があるのかを示す。
図16を参照すると、いくつかの実装形態においては、手順1200を用いて制御される光ビーム性能パラメータは光ビーム110の帯域幅であり、フィールド内(例えばスリット間)ベースで制御される。これはすなわち、この手順の時間ステップがフィールドの各スリットにおいて行われることを意味している。上のグラフは相関モジュール925から出力された例示的なリスト1010を表すグラフ1610を示し、その一方で下のグラフ1620は帯域幅の挙動を性能パラメータモジュール930から変更又は調整することなく示している。このグラフ1610において、帯域幅1012の性能パラメータはウェーハ120のフィールドの各露光スリットと相関している。これは、ウェーハ120の各フィールドにおいて何らかの物性1002を変更又は補正するために、各露光フィールドについて帯域幅1012がどのように調整される必要があるのかを示す。
図17Aを参照すると、いくつかの実装形態においては、手順1200を用いて制御される光ビーム性能パラメータは光ビーム110のエネルギであり、フィールド間ベースで制御される(すなわち、この手順における時間ステップは各露光フィールドで行われる)。この例においては、光ビーム110のエネルギは、ウェーハ120の各フィールドについて調整される。図17Aには、相関モジュール925から出力された露光フィールド1004に相関するエネルギ1012の例示的なリスト1010がグラフ1710で示されている。このリスト1010は、ウェーハ120の各フィールドにおいて臨界寸法1002を変更又は補正するために、各露光フィールドについてエネルギ1012がどのように調整される必要があるのかを示す。
図17B,C及びDには、予め露光されたウェーハ120の各露光フィールド1004について測定された物性(例えば臨界寸法)が一組のウェーハの概略図で示されており、ここで、色は臨界寸法の値に対応しており、各露光フィールドについて公称値ゼロ(0)、緑色から変化する。図17Bのデータは、300fm乃至800fm(E95メトリックを用いて測定)の範囲にわたる帯域幅で動作する光源110により予め露光されたウェーハ120について計測装置145によってとられたものである。図17Cのデータは、300fm乃至800fm(E95メトリックを用いて測定)の範囲にわたる帯域幅で動作する光源により予め露光されたウェーハ120について計測装置145によってとられたものである。また、図17Dのデータは、300fm乃至900fm(E95メトリックを用いて測定)の範囲にわたる帯域幅で動作する光源により予め露光されたウェーハ120について計測装置145によってとられたものである。帯域幅の値はウェーハの各フィールドについて図15Aに示されるように変化する。赤又は青のフィールドについては、臨界寸法は公称値から外れている。
図18を参照すると、グラフ1810は、手順1200を用いたフィールド間ベース(又はウェーハ内ベース)での光ビーム110の(fmなどの任意の単位の)波長の誤差の性能パラメータの調整又は分散を示す。グラフ1800の各データポイントはバースト又はフィールドを表すとともに、ウェーハ120における物性(臨界寸法など)の誤差を補正するため波長誤差がどのように調整されるのかを示す。
図19を参照すると、グラフ1910は、パルス間ベース(又はフィールド内ベース)での光ビーム110の波長の誤差の性能パラメータの調整又は分散を示す。縦軸はフィールド内のパルス数を表し、横軸は(中央のゼロ値からの)波長誤差を表す。グラフ1910の各データポイントはパルスを表し、ウェーハ120における物性(臨界寸法など)の誤差を補正するために波長誤差がどのように調整されるのかを示す。グラフ1910から分かるように、波長誤差は、フィールドの前半で形成するパルスについては大きくなる傾向がある一方で、フィールドの後半については小さくなる傾向がある。
図20A及び20Bを参照すると、各グラフ2000,2050は、光源110のスペクトル形状(1つの性能パラメータ)がウェーハスキャンの全体を通じてどのように変更又は調整されるのかを示して、ウェーハ120における物性の特定の変動を説明している。例えば、スペクトル形状は、1バーストの全体を通じて維持されてもよいし、バースト毎に変更されてもよいし、あるいはパルス毎又はスリット毎に変更されてもよい。グラフ2000,2050はスペクトル形状の2つの例を示すが、多くの他の形状が得られてもよい。スペクトル形状は、光スペクトル400の位相を変えることによって調整されてもよい。位相とは、スペクトル合成により発生される波長のピーク間の距離である。波長ピーク間の距離を変化させることにより、スペクトルの形状は、ウェーハ120を横断して変更されてもよい。
図21も参照すると、例示的なウェーハマップが示されており、このマップにおいてはスペクトルの位相が変更されている。ウェーハ露光の開始時には、レーザが、第1のバースト(フィールド)の第1のトリガ信号330よりも前に、(リソグラフィ露光装置115内の)コントローラ117からリセットビット(ウェーハ露光信号300)を受信する。各フィールド露光(バースト)の開始時には、光源110が、そのバーストの第1のトリガ信号330よりも前に、コントローラ117から多数のパルス情報を受信する。すると、レーザソフトウェア(制御系185内にあってもよい)が、ウェーハ120における照明要件に基づいてすぐ次のフィールドに最適なスペクトルを生成するよう、波長変更の振幅A、位相オフセットΦ、及びディザ期間Nを計算及び決定することができる。
情報の受信と第1のトリガ信号との間のタイミングは、制御系185が計算及び分析を完了するのに十分な時間をもたせるよう適切に計画される。このようにすれば、各フィールド(例えば図21のi及びi+1)は、まったく異なるスペクトルを有することができ、パルス間又はフィールド間ベースでの照明の柔軟性がもたらされる。各フィールドの端では、例えばT(mod)秒以内に、光源110についてのすべての情報とそのフィールドにおける光源110をトリガするための条件とが光源モジュール945に送信され、適切に処理されて、例えばメモリ900内に記録される。記録された情報は、オンライン又はオフラインのいずれかで、ウェーハ内又はウェーハ間の特徴付けのためにさらに用いられてもよい。
手順1200は、制御系185を介して、あるいは制御系185の光源105専用の部分を介して、有効及び無効にされてもよい。この手順1200は、ウェーハの特徴付け及びウェーハ間のスペクトル傾向制御に用いられてもよい。この手順1200は、フィールド間又はフィールド内での閉ループスペクトル制御に用いられてもよい。現在のバーストのスペクトルは、最後のバーストの情報の計算に基づいて適合化されてもよい。
このようにして、スペクトルはフィールド間又はウェーハ間ベースで生成される。そして、フィールド間及びウェーハ間ベースでのスペクトル形状の適応制御が存在する。
図22Aも参照すると、グラフ2200は、ウェーハ120における臨界寸法(物性1002)対光ビーム110の焦点(光ビームパラメータ1012)を示す。このグラフ2200は一般にボサング曲線と称され、プリントされたフィーチャの臨界寸法の変動に対する焦点寛容度及び露光寛容度の分析を可能にするものである。グラフ2200は記号(四角又は丸など)を有する一組のプロットを示し、これらのプロットは対称的な光スペクトル400によって生成されたものである。一方、グラフ2200に示される記号のないプロットは、非対称的な光スペクトル400によって生成されたものである。グラフ2200は図22Bにおいて再現されているが、非対称的な光スペクトル400によって生成されたプロットが傾斜していることを示すために、線2230が追加されている。このようにして、光スペクトル400の形状を変更することにより、ボサング傾斜又はシフトが誘発される。

Claims (31)

  1. 光学源に命令してパルス光ビームを発生させることと、
    リソグラフィ露光装置のウェーハを横断して前記パルス光ビームをスキャンし、前記パルス光ビームで前記ウェーハを露光することと、
    前記ウェーハを横断する前記パルス光ビームのスキャンの際に前記ウェーハにおける前記パルス光ビームの特性を受信することと、
    特定のパルス光ビーム特性についてウェーハの物性の決定値を受信することと、
    スキャンの際に受信した前記パルス光ビーム特性と前記物性の前記受信した決定値とに基づいて、前記ウェーハを横断するスキャンの際の前記パルス光ビームの性能パラメータを変更することと、
    を含む、フォトリソグラフィ方法。
  2. 前記物性の前記決定値は、前記ウェーハの前記物性の誤差を含む、請求項1に記載の方法。
  3. 前記物性は、前記ウェーハ上に形成されたフィーチャのコントラスト、前記パルス光ビームにさらされるウェーハ領域の臨界寸法、前記パルス光ビームにさらされるウェーハ領域のフォトレジストプロファイル、及び前記パルス光ビームにさらされるウェーハ領域の側壁角度のうち1つ以上である、請求項1に記載の方法。
  4. 特定の光ビーム特性について前記ウェーハの前記物性の前記決定値を受信することは、前記光学源の前記光ビームによって予め露光されたウェーハにおける一組の光ビーム特性に対する前記予め露光されたウェーハの前記物性の一組の決定値を受信することを含む、請求項1に記載の方法。
  5. 前記ウェーハを横断する前記パルス光ビームのスキャンの際に前記ウェーハにおける前記光ビームの前記特性を受信することは、前記光ビームが前記ウェーハを露光する位置を受信することを含む、請求項1に記載の方法。
  6. 前記ウェーハを横断する前記パルス光ビームのスキャンの際に前記ウェーハにおける前記光ビームの前記特性を受信することは、前記光ビームが前記ウェーハを露光するときに前記光ビームのエネルギを受信することを含む、請求項1に記載の方法。
  7. 前記パルス光ビームの前記性能パラメータを変更することは、前記パルス光ビームの目標性能パラメータを変更することを含み、
    前記パルス光ビームの前記性能パラメータの測定を受信することと、
    前記測定された性能パラメータが前記変更された目標性能パラメータと一致するかどうかを判定することと、
    前記測定された性能パラメータが前記変更された目標性能パラメータと一致しないと判定された場合、前記光学源に信号を送信して前記パルス光ビームの前記性能パラメータを変更することと、
    をさらに含む、請求項1に記載の方法。
  8. 前記ウェーハにおける前記光ビーム特性を受信することは、
    前記リソグラフィ露光装置から前記光学源への制御信号を受信することと、
    前記受信した制御信号に基づいて前記ウェーハにおける前記光ビーム特性を決定することと、
    を含む、請求項1に記載の方法。
  9. 前記パルス光ビームの前記性能パラメータへの変更を決定することをさらに含む、請求項1に記載の方法。
  10. 前記パルス光ビームの前記性能パラメータへの前記変更を決定することは、
    前記ウェーハにおける光ビーム特性の関数として記憶された性能パラメータの組にアクセスすることと、
    前記アクセスされた組内で前記現在のウェーハにおける前記光ビームの前記受信した特性に対応する前記性能パラメータの前記値を選択することと、
    前記性能パラメータの前記選択された値を前記パルス光ビームの前記性能パラメータの現在の値と比較することと、
    を含む、請求項9に記載の方法。
  11. 前記性能パラメータの前記選択された値が前記現在の値と一致しない場合、前記現在の性能パラメータが前記選択された値と一致するように調整される必要があると決定することをさらに含む、請求項10に記載の方法。
  12. 前記特定の光ビーム特性についての前記ウェーハの前記物性の前記決定値を受信することは、前記光ビームの前記受信した特性に基づいて前記特定の光ビーム特性について前記ウェーハの前記物性の前記値を選択することを含む、請求項1に記載の方法。
  13. 前記物性の前記決定値を受信することは、前記ウェーハにおける一組の光ビーム特性での前記ウェーハの一組の測定された物性を受信することを含む、請求項10に記載の方法。
  14. 前記組の前記ウェーハにおける各光ビーム特性について、前記測定された物性に基づいて前記パルス光ビームの性能パラメータを決定することと、
    前記決定された性能パラメータを前記組内の各光ビーム特性で記憶することと、
    をさらに含む、請求項13に記載の方法。
  15. 前記ウェーハを横断して前記パルス光ビームをスキャンすることは、前記ウェーハのフィールドを横断して前記パルス光ビームをスキャンすることを含み、前記フィールドは、露光される前記ウェーハの全領域の一部であり、
    前記光ビームの前記特性を受信することは、前記フィールドを横断する前記スキャンの際に前記特性を受信することを含む、請求項1に記載の方法。
  16. 前記パルス光ビームの前記性能パラメータを変更することは、スペクトル特徴、スペクトル特徴の誤差、前記パルス光ビームのエネルギ、前記パルス光ビームの量、前記パルス光ビームの波長の誤差、前記パルス光ビームの帯域幅、及び前記パルス光ビームのスペクトル形状のうち1つ以上を変更することを含む、請求項1に記載の方法。
  17. 前記パルス光ビームの前記性能パラメータを変更することに基づいて前記ウェーハにおけるパターニングの誤差を補正することをさらに含む、請求項1に記載の方法。
  18. 前記ウェーハのパターニングの前記誤差は、前記リソグラフィ露光装置を変更することなく補正される、請求項17に記載の方法。
  19. 前記ウェーハのパターニングの前記誤差は、前記リソグラフィ露光装置内の光学的フィーチャ又は構成要素を変更することなく補正される、請求項17に記載の方法。
  20. 前記パルス光ビームの前記性能パラメータを変更することは、前記パルス光ビームのスペクトル特徴を変更することを含み、
    前記光ビーム特性が受信される度にスペクトル特徴の推定を生成することをさらに含む、請求項1に記載の方法。
  21. 前記光ビーム特性は前記ウェーハの各フィールドにおいて受信され、
    前記フィールドは、露光される前記ウェーハの前記全領域の一部及び露光窓の1回のスキャンにおいて露光される前記ウェーハの領域である、請求項1に記載の方法。
  22. 前記パルス光ビームを発生することは、前記パルス光ビームのスペクトル形状をパルス間ベースで摂動させることを含む、請求項1に記載の方法。
  23. 前記パルス光ビームの前記スペクトル形状をパルス間ベースで摂動させることは、前記光ビームの各パルスの中心波長をベースライン波長から所定の繰り返しパターンでシフトさせることを含む、請求項22に記載の方法。
  24. 前記パルス光ビームの前記性能パラメータを変更することは、前記光ビームの各パルスの前記中心波長が前記ベースライン波長からどの程度シフトされるのかを変更することを含む、請求項23に記載の方法。
  25. 前記ウェーハをスキャンする前に、
    1つ以上の予め露光されたウェーハの各露光フィールドにおけるスキャン内の前記物性を測定することと、
    前記パルス光ビームで露光されたウェーハ全体を横断して各露光フィールドについて測定された各物性を相関させるテーブルを作成することと、
    をさらに含む、請求項1に記載の方法。
  26. 前記物性の前記決定値を受信することは、前記作成されたテーブルから前記現在の露光フィールドについての前記測定された物性を受信することを含む、請求項25に記載の方法。
  27. 前記光ビーム特性を受信することは、
    前記ウェーハの露光の開始の検出を受信することと、
    前記ウェーハの前記露光の終了の検出を受信することと、
    を含む、請求項25に記載の方法。
  28. パルス光ビームを発生させる光学源と、
    前記パルス光ビームをフォトリソグラフィ露光装置のウェーハに配向して前記パルス光ビームで前記ウェーハを露光する一組の光学部品と、
    前記ウェーハを横断して前記パルス光ビームをスキャンする、前記リソグラフィ露光装置内のスキャン光学系と、
    前記ウェーハのスキャンの際に前記ウェーハにおける前記パルス光ビームの特性を出力する、前記リソグラフィ露光装置内の監視モジュールと、
    ウェーハにおける一組のパルス光ビーム特性に対する前記ウェーハの物性の一組の測定値を受信し、前記受信した決定値に基づいて前記パルス光ビームの目標性能パラメータと前記ウェーハにおける前記パルス光ビーム特性との相関を出力する、相関モジュールと、
    前記監視モジュールに接続されて前記ウェーハにおける前記パルス光ビーム特性の前記出力を受信するとともに、前記相関モジュールに接続されて前記相関レシピを受信し、前記受信した光ビーム特性及び前記相関レシピに基づいて前記性能パラメータの値を出力する、性能パラメータモジュールと、
    前記性能パラメータモジュールに接続されて前記性能パラメータの前記出力された値を受信するとともに、前記光学源の1つ以上の物理的フィーチャを作動させる光学源作動系に接続されて、前記受信した出力された値に基づいて前記光学源の1つ以上の性能パラメータを変更する、光源モジュールと、
    を備える、フォトリソグラフィシステム。
  29. 1つ以上のウェーハを保持するウェーハホルダと、
    前記ウェーハにおける各光ビーム特性について前記ウェーハの前記物性を測定し、前記ウェーハにおける各光ビーム特性について前記測定した物性を出力する、検出系と、
    を含む計測モジュールをさらに備える、請求項28に記載のフォトリソグラフィシステム。
  30. 前記相関モジュールは、前記計測モジュールからの前記出力を受信し前記計測モジュールからの前記出力に基づいて前記相関レシピを作成するように接続されている、請求項29に記載のフォトリソグラフィシステム。
  31. 前記ウェーハにおける前記光ビーム特性は、前記ウェーハを露光するときの前記パルス光ビームの位置を含む、請求項28に記載のフォトリソグラフィシステム。
JP2016519552A 2013-06-11 2014-06-05 ウェーハベースの光源パラメータ制御 Pending JP2016526697A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361833892P 2013-06-11 2013-06-11
US61/833,892 2013-06-11
US14/295,558 US9715180B2 (en) 2013-06-11 2014-06-04 Wafer-based light source parameter control
US14/295,558 2014-06-04
PCT/US2014/041176 WO2014200821A1 (en) 2013-06-11 2014-06-05 Wafer-based light source parameter control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019012713A Division JP7077243B2 (ja) 2013-06-11 2019-01-29 フォトリソグラフィシステム及び計測装置

Publications (1)

Publication Number Publication Date
JP2016526697A true JP2016526697A (ja) 2016-09-05

Family

ID=52022671

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016519552A Pending JP2016526697A (ja) 2013-06-11 2014-06-05 ウェーハベースの光源パラメータ制御
JP2019012713A Active JP7077243B2 (ja) 2013-06-11 2019-01-29 フォトリソグラフィシステム及び計測装置
JP2022016240A Active JP7434382B2 (ja) 2013-06-11 2022-02-04 ウェーハベースの光源パラメータ制御

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2019012713A Active JP7077243B2 (ja) 2013-06-11 2019-01-29 フォトリソグラフィシステム及び計測装置
JP2022016240A Active JP7434382B2 (ja) 2013-06-11 2022-02-04 ウェーハベースの光源パラメータ制御

Country Status (6)

Country Link
US (2) US9715180B2 (ja)
JP (3) JP2016526697A (ja)
KR (1) KR102257749B1 (ja)
CN (1) CN105393169B (ja)
TW (1) TWI631427B (ja)
WO (1) WO2014200821A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190055247A (ko) * 2016-10-17 2019-05-22 사이머 엘엘씨 웨이퍼-기반의 광원 파라미터 제어
KR20190060855A (ko) * 2016-10-17 2019-06-03 사이머 엘엘씨 스펙트럼 특징 제어 장치
JP2019533825A (ja) * 2016-10-17 2019-11-21 サイマー リミテッド ライアビリティ カンパニー ウェーハステージ振動の制御

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9715180B2 (en) 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
US10066056B2 (en) 2014-06-19 2018-09-04 Sabic Global Technologies B.V. Brominated cross-linkable polycarbonate compositions
US10428190B2 (en) 2014-06-20 2019-10-01 Sabic Global Technologies B.V. Processes for designing cross-linkable polycarbonates and articles formed therefrom
DE202015009117U1 (de) 2014-06-20 2016-10-24 Google Inc. Integration von Online-Navigationsdaten mit gecachten Navigationsdaten während der aktiven Navigation
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
US10017622B2 (en) 2014-09-17 2018-07-10 Sabic Global Technologies B.V. Colored cross-linkable polycarbonate compositions
US9939732B2 (en) 2015-10-27 2018-04-10 Cymer, Llc Controller for an optical system
US9945730B2 (en) * 2016-09-02 2018-04-17 Cymer, Llc Adjusting an amount of coherence of a light beam
US10036963B2 (en) 2016-09-12 2018-07-31 Cymer, Llc Estimating a gain relationship of an optical source
US9997888B2 (en) * 2016-10-17 2018-06-12 Cymer, Llc Control of a spectral feature of a pulsed light beam
US10096967B2 (en) * 2016-12-07 2018-10-09 Cymer, Llc Wavelength control system for pulse-by-pulse wavelength target tracking in DUV light source
US10229312B2 (en) * 2016-12-30 2019-03-12 Facebook, Inc. Systems and methods for providing augmented reality overlays
US9966725B1 (en) * 2017-03-24 2018-05-08 Cymer, Llc Pulsed light beam spectral feature control
WO2018197144A1 (en) * 2017-04-28 2018-11-01 Asml Netherlands B.V. Optimizing a sequence of processes for manufacturing of product units
US10234769B2 (en) * 2017-05-22 2019-03-19 Cymer, Llc Monitoring system for an optical lithography system
KR20230006608A (ko) 2017-10-19 2023-01-10 사이머 엘엘씨 단일의 리소그래피 노광 패스로 복수의 에어리얼 이미지를 형성하는 방법
EP3495888A1 (en) * 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
KR20200125986A (ko) * 2018-03-29 2020-11-05 에이에스엠엘 네델란즈 비.브이. 스캐닝 노광 장치를 위한 제어 방법
JP7022220B2 (ja) * 2018-04-06 2022-02-17 エーエスエムエル ネザーランズ ビー.ブイ. 非線形光学系を有する検査装置
WO2020177979A1 (en) * 2019-03-03 2020-09-10 Asml Netherlands B.V. Method and apparatus for imaging using narrowed bandwidth
WO2021186741A1 (ja) * 2020-03-19 2021-09-23 ギガフォトン株式会社 露光方法、露光システム、及び電子デバイスの製造方法
US20230223734A1 (en) * 2020-06-09 2023-07-13 Cymer, Llc Systems and methods for controlling a center wavelength
WO2022003901A1 (ja) * 2020-07-02 2022-01-06 ギガフォトン株式会社 露光システム、露光方法、及び電子デバイスの製造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024852A (ja) * 2004-07-09 2006-01-26 Komatsu Ltd レーザ装置の指令値調整方法及び露光情報記憶装置
JP2010157620A (ja) * 2008-12-26 2010-07-15 Canon Inc 露光装置及びデバイス製造方法
JP2011097056A (ja) * 2009-10-30 2011-05-12 Asml Netherlands Bv リソグラフィ方法および装置
JP2011233744A (ja) * 2010-04-28 2011-11-17 Toshiba Corp 露光方法および半導体デバイスの製造方法
JP2013503477A (ja) * 2009-08-25 2013-01-31 サイマー インコーポレイテッド 光源の能動スペクトル制御

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4937619A (en) 1986-08-08 1990-06-26 Hitachi, Ltd. Projection aligner and exposure method
JP2830492B2 (ja) 1991-03-06 1998-12-02 株式会社ニコン 投影露光装置及び投影露光方法
US5303002A (en) 1993-03-31 1994-04-12 Intel Corporation Method and apparatus for enhancing the focus latitude in lithography
JP3255312B2 (ja) 1993-04-28 2002-02-12 株式会社ニコン 投影露光装置
JPH09115800A (ja) * 1995-10-16 1997-05-02 Nikon Corp 露光装置
US6671294B2 (en) 1997-07-22 2003-12-30 Cymer, Inc. Laser spectral engineering for lithographic process
US6853653B2 (en) 1997-07-22 2005-02-08 Cymer, Inc. Laser spectral engineering for lithographic process
US6522386B1 (en) 1997-07-24 2003-02-18 Nikon Corporation Exposure apparatus having projection optical system with aberration correction element
US6393037B1 (en) 1999-02-03 2002-05-21 Lambda Physik Ag Wavelength selector for laser with adjustable angular dispersion
KR20010075157A (ko) 1998-09-17 2001-08-09 오노 시게오 투영광학계의 조정방법
US6218077B1 (en) 1998-10-26 2001-04-17 Agere Systems Guardian Corp. Method of manufacturing an integrated circuit using a scanning system and a scanning system
CN1232010C (zh) 1999-11-30 2005-12-14 西默股份有限公司 具有氦吹洗窄线装置的高功率气体放电激光器
JP4585649B2 (ja) * 2000-05-19 2010-11-24 キヤノン株式会社 露光装置およびデバイス製造方法
US6738406B2 (en) 2000-06-19 2004-05-18 Lambda Physik Ag Precision measurement of wavelengths emitted by a molecular fluorine laser at 157nm
EP1246014A1 (en) 2001-03-30 2002-10-02 ASML Netherlands B.V. Lithographic apparatus
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
EP1205806A1 (en) 2000-11-09 2002-05-15 Semiconductor300 GmbH & Co KG Method for exposing a semiconductor wafer
JP4981218B2 (ja) 2001-06-15 2012-07-18 キヤノン株式会社 露光装置および露光方法
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US7088758B2 (en) 2001-07-27 2006-08-08 Cymer, Inc. Relax gas discharge laser lithography light source
US7154928B2 (en) 2004-06-23 2006-12-26 Cymer Inc. Laser output beam wavefront splitter for bandwidth spectrum control
JP4154144B2 (ja) 2001-11-13 2008-09-24 キヤノン株式会社 露光装置、発光制御方法、およびデバイス製造方法
US6952267B2 (en) 2003-07-07 2005-10-04 Cymer, Inc. Method and apparatus for measuring bandwidth of a laser output
US6829040B1 (en) 2003-11-07 2004-12-07 Advanced Micro Devices, Inc. Lithography contrast enhancement technique by varying focus with wavelength modulation
US7250237B2 (en) * 2003-12-23 2007-07-31 Asml Netherlands B.V. Optimized correction of wafer thermal deformations in a lithographic process
JP2005191503A (ja) * 2003-12-26 2005-07-14 Canon Inc レーザ装置、露光方法及び装置
US7053979B2 (en) 2004-05-12 2006-05-30 Litel Instruments Process for amelioration of scanning synchronization error
US7366219B2 (en) 2004-11-30 2008-04-29 Cymer, Inc. Line narrowing module
US20060114956A1 (en) 2004-11-30 2006-06-01 Sandstrom Richard L High power high pulse repetition rate gas discharge laser system bandwidth management
JP4580338B2 (ja) 2004-12-23 2010-11-10 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、エキシマ・レーザ、およびデバイス製造方法
US20060209410A1 (en) 2005-03-18 2006-09-21 Smith Adlai H Method and apparatus for compensation or amelioration of lens field curvature and other imaging defects by utilizing a multi-wavelength setting illumination source
EP1901337A1 (en) 2005-05-25 2008-03-19 Nikon Corporation Exposure method and lithography system
US7317536B2 (en) 2005-06-27 2008-01-08 Cymer, Inc. Spectral bandwidth metrology for high repetition rate gas discharge lasers
US7653095B2 (en) 2005-06-30 2010-01-26 Cymer, Inc. Active bandwidth control for a laser
JP5104305B2 (ja) 2005-07-01 2012-12-19 株式会社ニコン 露光装置、露光方法及びデバイス製造方法
US7369214B2 (en) * 2005-08-11 2008-05-06 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing a metrology system with sensors
US7439001B2 (en) 2005-08-18 2008-10-21 International Business Machines Corporation Focus blur measurement and control method
US7822084B2 (en) 2006-02-17 2010-10-26 Cymer, Inc. Method and apparatus for stabilizing and tuning the bandwidth of laser light
US7852889B2 (en) 2006-02-17 2010-12-14 Cymer, Inc. Active spectral control of DUV light source
JP2007329432A (ja) * 2006-06-09 2007-12-20 Canon Inc 露光装置
US8259764B2 (en) 2006-06-21 2012-09-04 Cymer, Inc. Bandwidth control device
US7455939B2 (en) 2006-07-31 2008-11-25 International Business Machines Corporation Method of improving grating test pattern for lithography monitoring and controlling
US7659529B2 (en) 2007-04-13 2010-02-09 Cymer, Inc. Method and apparatus for vibration reduction in laser system line narrowing unit wavelength selection optical element
JP2009141154A (ja) * 2007-12-06 2009-06-25 Canon Inc 走査露光装置及びデバイス製造方法
JP2009164296A (ja) 2007-12-28 2009-07-23 Canon Inc 露光装置およびデバイス製造方法
CN101226343A (zh) 2008-01-29 2008-07-23 芯硕半导体(中国)有限公司 一种采用灰度补偿制提高光刻曝光能量均匀性的方法
US8144739B2 (en) 2008-10-24 2012-03-27 Cymer, Inc. System method and apparatus for selecting and controlling light source bandwidth
JP2010103437A (ja) * 2008-10-27 2010-05-06 Canon Inc 走査露光装置およびデバイス製造方法
JP2010153650A (ja) 2008-12-25 2010-07-08 Canon Inc 露光装置およびデバイス製造方法
NL2005414A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Lithographic apparatus and patterning device.
US8520189B2 (en) * 2010-05-03 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for maintaining depth of focus
WO2012080008A2 (en) 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US9207119B2 (en) * 2012-04-27 2015-12-08 Cymer, Llc Active spectral control during spectrum synthesis
WO2014019846A2 (en) * 2012-07-30 2014-02-06 Asml Netherlands B.V. Position measuring apparatus, position measuring method, lithographic apparatus and device manufacturing method
US9715180B2 (en) 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024852A (ja) * 2004-07-09 2006-01-26 Komatsu Ltd レーザ装置の指令値調整方法及び露光情報記憶装置
JP2010157620A (ja) * 2008-12-26 2010-07-15 Canon Inc 露光装置及びデバイス製造方法
JP2013503477A (ja) * 2009-08-25 2013-01-31 サイマー インコーポレイテッド 光源の能動スペクトル制御
JP2011097056A (ja) * 2009-10-30 2011-05-12 Asml Netherlands Bv リソグラフィ方法および装置
JP2011233744A (ja) * 2010-04-28 2011-11-17 Toshiba Corp 露光方法および半導体デバイスの製造方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190055247A (ko) * 2016-10-17 2019-05-22 사이머 엘엘씨 웨이퍼-기반의 광원 파라미터 제어
KR20190060855A (ko) * 2016-10-17 2019-06-03 사이머 엘엘씨 스펙트럼 특징 제어 장치
CN109844612A (zh) * 2016-10-17 2019-06-04 西默有限公司 光谱特征控制装置
JP2019532334A (ja) * 2016-10-17 2019-11-07 サイマー リミテッド ライアビリティ カンパニー ウェーハベースの光源パラメータ制御
JP2019532335A (ja) * 2016-10-17 2019-11-07 サイマー リミテッド ライアビリティ カンパニー スペクトルフィーチャ制御装置
JP2019533825A (ja) * 2016-10-17 2019-11-21 サイマー リミテッド ライアビリティ カンパニー ウェーハステージ振動の制御
US10845610B2 (en) 2016-10-17 2020-11-24 Cymer, Llc Spectral feature control apparatus
KR102251769B1 (ko) * 2016-10-17 2021-05-13 사이머 엘엘씨 스펙트럼 특징 제어 장치
KR20210055803A (ko) * 2016-10-17 2021-05-17 사이머 엘엘씨 스펙트럼 특징 제어 장치
KR102256731B1 (ko) * 2016-10-17 2021-05-25 사이머 엘엘씨 웨이퍼-기반의 광원 파라미터 제어
KR20210060681A (ko) * 2016-10-17 2021-05-26 사이머 엘엘씨 웨이퍼-기반의 광원 파라미터 제어
JP2021081748A (ja) * 2016-10-17 2021-05-27 サイマー リミテッド ライアビリティ カンパニー スペクトルフィーチャ制御装置
KR102379328B1 (ko) 2016-10-17 2022-03-25 사이머 엘엘씨 웨이퍼-기반의 광원 파라미터 제어
KR102393887B1 (ko) 2016-10-17 2022-05-02 사이머 엘엘씨 스펙트럼 특징 제어 장치
CN109844612B (zh) * 2016-10-17 2022-09-27 西默有限公司 光谱特征控制装置
US11561407B2 (en) 2016-10-17 2023-01-24 Cymer, Llc Spectral feature control apparatus
JP7225289B2 (ja) 2016-10-17 2023-02-20 サイマー リミテッド ライアビリティ カンパニー スペクトルフィーチャ制御装置

Also Published As

Publication number Publication date
CN105393169A (zh) 2016-03-09
TWI631427B (zh) 2018-08-01
US20180011409A1 (en) 2018-01-11
US20150070673A1 (en) 2015-03-12
JP7434382B2 (ja) 2024-02-20
JP2022058902A (ja) 2022-04-12
JP2019091064A (ja) 2019-06-13
TW201500862A (zh) 2015-01-01
CN105393169B (zh) 2018-07-13
KR102257749B1 (ko) 2021-05-28
WO2014200821A1 (en) 2014-12-18
JP7077243B2 (ja) 2022-05-30
KR20160018716A (ko) 2016-02-17
US10036960B2 (en) 2018-07-31
US9715180B2 (en) 2017-07-25

Similar Documents

Publication Publication Date Title
JP7434382B2 (ja) ウェーハベースの光源パラメータ制御
KR102428750B1 (ko) 단일의 리소그래피 노광 패스로 복수의 에어리얼 이미지를 형성하는 방법
US9207119B2 (en) Active spectral control during spectrum synthesis
US10268123B2 (en) Wafer-based light source parameter control
US20180109068A1 (en) Control of a spectral feature of a pulsed light beam
JP6886525B2 (ja) パルス光ビームのスペクトル特徴制御
US9835959B1 (en) Controlling for wafer stage vibration
TW202307578A (zh) 在單一微影曝光遍次中形成多個空間影像
JP2009076688A (ja) 露光装置及びデバイスの製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170509

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180313

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180612

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20181005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190129

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20190129

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190212

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20190213

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20190308

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20190312

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20190711

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20190829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191128

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20200212

C302 Record of communication

Free format text: JAPANESE INTERMEDIATE CODE: C302

Effective date: 20200212

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20200309

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20200309