JP2012533890A5 - - Google Patents

Download PDF

Info

Publication number
JP2012533890A5
JP2012533890A5 JP2012520801A JP2012520801A JP2012533890A5 JP 2012533890 A5 JP2012533890 A5 JP 2012533890A5 JP 2012520801 A JP2012520801 A JP 2012520801A JP 2012520801 A JP2012520801 A JP 2012520801A JP 2012533890 A5 JP2012533890 A5 JP 2012533890A5
Authority
JP
Japan
Prior art keywords
apertures
distribution assembly
gas distribution
manifold
aperture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012520801A
Other languages
English (en)
Other versions
JP2012533890A (ja
JP5777615B2 (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2010/042194 external-priority patent/WO2011009002A2/en
Publication of JP2012533890A publication Critical patent/JP2012533890A/ja
Publication of JP2012533890A5 publication Critical patent/JP2012533890A5/ja
Application granted granted Critical
Publication of JP5777615B2 publication Critical patent/JP5777615B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (19)

  1. 内径のところに位置する内側環状壁と、外径のところに位置する外壁と、上部表面と、底部表面とを有する環状リングと、
    前記上部表面内に形成された上部リセスと、
    前記内側環状壁の半径方向の外側に向けて形成されたリップと、
    前記内側環状壁内に形成された台座と
    有する環状ボデ
    を備え、
    前記上部リセス内に設置された上部プレートが、
    自身を貫通して形成された複数の第1のアパーチャを有、前記第1のアパーチャが自身の表面を超えて延び、それによって、盛り上がった円柱状が形成される、ディスク形状のボディを備え
    前記台座上に設置された底部プレートが、
    前記第1のアパーチャに整列し、自身を貫通して形成された複数の第2のアパーチャを有するディスク形状のボディと、
    前記第2のアパーチャの間に、底部プレートを貫通して形成された複数の第3のアパーチャであって、部プレートが、前記複数の第1のアパーチャおよび前記複数の第2のアパーチャを前記複数の第3のアパーチャから流体的に分離するために前記上部プレートに密閉するように連結される、複数の第3のアパーチャと
    を備えた、ス分配アセンブリ。
  2. 前記上部プレートおよび底部プレートが一緒にろう付けされ、それによって、整列した第1のアパーチャおよび第2のアパーチャの各対の周りにシールが形成され、シールが前記上部プレートの外側端部と前記上部リセスの内側端部との間に作られるように、前記上部プレートが前記環状ボディにE−ビーム溶接され、シールが前記底部プレートの外側端部と前記内側環状壁との間に作られるように、前記底部プレートが前記環状ボディにE−ビーム溶接される、請求項1に記載のガス分配アセンブリ。
  3. 前記環状ボディが、
    第1の冷却チャネルの半径方向の内側の前記上部リセス内に形成された流体配送チャネルと、
    前記流体配送チャネルの半径方向の内側の前記上部リセス内に形成された流体通路であって、前記流体通路が前記流体配送チャネルに流体的に連結される、流体通路と、
    前記環状ボディの前記外側壁を貫通して形成され、前記流体配送チャネルに流体的に連結され、それによって、導管の注入部から前記複数の第3のアパーチャの排出部への流れ経路が形成される、導管と
    をさらに備えた、請求項2に記載のガス分配アセンブリ。
  4. 前記環状ボディが、
    前記上部リセスの半径方向の外側の前記環状ボディの前記上部表面上に形成された第1の冷却チャネルと、
    前記上部リセスの半径方向の外側の前記環状ボディの前記底部表面上に形成された第2の冷却チャネルと
    をさらに備えた、請求項1に記載のガス分配アセンブリ。
  5. 前記環状ボディが、
    前記第2の冷却チャネルの半径方向の外側の前記環状ボディの前記底部表面内に形成されたヒータリセス
    をさらに備えた、請求項1に記載のガス分配アセンブリ。
  6. 前記複数の第1のアパーチャの各アパーチャおよび前記複数の第2のアパーチャの各アパーチャの少なくとも一部が、円柱状形状を有する、請求項1に記載のガス分配アセンブリ。
  7. 前記複数の第3のアパーチャが、砂時計形状を有する、請求項1に記載のガス分配アセンブリ。
  8. 前記複数の第2のアパーチャおよび前記複数の第3のアパーチャが交互に互い違いになった列を形成し、前記第2のアパーチャの各々が少なくとも1つの第3のアパーチャによってもう1つの第2のアパーチャから離される、請求項1に記載のガス分配アセンブリ。
  9. 内径のところに位置する内側環状壁と、外径のところに位置する外壁と、上部表面と、底部表面とを有する環状リングと、
    前記上部表面内に形成された上部リセスと、
    前記内側環状壁の半径方向の外側に向けて形成されたリップと、
    前記内側環状壁内に形成された台座と
    を備えた環状ボデ
    を備え、
    前記上部リセス内に設置された上部プレートが、
    自身を貫通して形成された複数の第1のアパーチャを有、前記第1のアパーチャが自身の表面を超えて延び、それによって、盛り上がった円柱状が形成される、ディスク形状のボディを備え
    前記台座上に設置された底部プレートが、
    前記第1のアパーチャに整列し、自身を貫通して形成された複数の第2のアパーチャを有し、前記第2のアパーチャが12辺多角形パターンに配列される、ディスク形状のボディと、
    底部プレートを貫通して形成された複数の第3のアパーチャであって、前記第3のアパーチャが前記第2のアパーチャの直径よりも小さな直径を有し、前記第3のアパーチャの各々が少なくとも2つの第2のアパーチャの間に配置され、部プレートが、前記複数の第1のアパーチャおよび前記複数の第2のアパーチャを前記複数の第3のアパーチャから流体的に分離するために前記上面プレートに密閉するように連結される、複数の第3のアパーチャと
    を備えた、ス分配アセンブリ。
  10. 前記上部プレートおよび底部プレートが一緒にろう付けされ、それによって、整列した第1のアパーチャおよび第2のアパーチャの各対の周りにシールが形成され、シールが前記上部プレートの外側端部と前記上部リセスの内側端部との間に作られるように、前記上部プレートが前記環状ボディにE−ビーム溶接され、シールが前記底部プレートの外側端部と前記内側環状壁との間に作られるように、前記底部プレートが前記環状ボディにE−ビーム溶接される、請求項に記載のガス分配アセンブリ。
  11. 前記環状ボディが、
    第1の冷却チャネルの半径方向の内側の前記上部リセス内に形成された流体配送チャネルと、
    前記流体配送チャネルの半径方向の内側の前記上部リセス内に形成された流体通路であって、前記流体通路が前記流体配送チャネルに流体的に連結される、流体通路と、
    前記環状ボディの前記外側壁を貫通して形成され、前記流体配送チャネルに流体的に連結され、それによって、導管の注入部から前記複数の第3のアパーチャの排出部への流れ経路が形成される、導管と
    をさらに備えた、請求項に記載のガス分配アセンブリ。
  12. 前記環状ボディが、
    前記上部リセスの半径方向の外側の前記環状ボディの前記上部表面上に形成された第1の冷却チャネルと、
    前記上部リセスの半径方向の外側の前記環状ボディの前記底部表面上に形成された第2の冷却チャネルと
    をさらに備えた、請求項に記載のガス分配アセンブリ。
  13. 前記環状ボディが、
    前記環状ボディの前記底部表面中に、前記第2の冷却チャネルの半径方向の外側に形成されたヒータリセス
    をさらに備えた、請求項に記載のガス分配アセンブリ。
  14. 前記複数の第1のアパーチャが複数の互い違いになった列を形成し、前記複数の第2のアパーチャおよび前記複数の第3のアパーチャが交互に互い違いになった列を形成し、前記第2のアパーチャの各々が少なくとも1つの第3のアパーチャによってもう1つの第2のアパーチャから離される、請求項に記載のガス分配アセンブリ。
  15. 上部マニホールドの中心部分の周りに同心円状に配置され、複数の第1の放射状列に形成された複数の第1のアパーチャと、
    前記複数の第1のアパーチャの周りに同心円状に配置され、複数の第2の放射状列に形成された複数の第2のアパーチャと
    を備えた、上部マニホールドと、
    中央マニホールドの中心部分の周りに同心円状に配置された第1の開口部のセットと、
    前記第1の開口部の第1のセットの周りに同心円状に配置された第2の開口部のセットと
    を備えた、前記上部マニホールドに連結された中央マニホールドと、
    底部マニホールドの中心部分の周りに同心円状に配置された第3の開口部のセットと、
    前記第3の開口部のセットの周りに同心円状に配置された第4の開口部のセットと、
    前記底部マニホールドの上部面上で前記第4の開口部の各々の間に配置された複数の第1のガスチャネルと、
    前記第4の開口部のセットの周りに同心円状に配置され、前記第1のガスチャネルのうちの1つまたは複数に流体的に連結されたチャネルネットワークと
    を備えた、前記中央マニホールドに連結された底部マニホールドと
    を備えた、ガス分配アセンブリ。
  16. 前記複数の第1のガスチャネルの各々が、第1のガスチャネル中に設置され、前記底部マニホールドを貫通して形成された1つまたは複数のアパーチャをさらに備える、請求項15に記載のガス分配アセンブリ。
  17. 前記底部マニホールドの前記上部面上で前記第3の開口部の各々の間に配置された複数の第2のガスチャネルをさらに備えた、請求項15に記載のガス分配アセンブリ。
  18. 前記上部マニホールドの底面上で前記上部マニホールドの前記中心部分に形成された第1の流体貯蔵部と、
    前記上部マニホールドの前記底面上に配置され、前記第1の流体貯蔵部に流体的に連結された1つまたは複数のガスチャネルと、
    前記中央マニホールドの前記中心部分を貫通して形成され、前記第1の流体貯蔵部と流体連絡した中心開口部と、
    前記底部マニホールドの前記上部面上で前記底部マニホールドの前記中心部分に形成された第2の流体貯蔵部であって、前記第2の流体貯蔵部が、前記中心開口部と流体連絡し、前記複数の第2のガスチャネルと流体連絡する、第2の流体貯蔵部と
    をさらに備えた、請求項17に記載のガス分配アセンブリ。
  19. 前記複数の第2のガスチャネルの各々が、前記第2のガスチャネル中に設置され、前記底部マニホールドを貫通して形成された1つまたは複数のアパーチャをさらに備える、請求項17に記載のガス分配アセンブリ。
JP2012520801A 2009-07-15 2010-07-15 Cvdチャンバの流れ制御機構 Active JP5777615B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US22589009P 2009-07-15 2009-07-15
US61/225,890 2009-07-15
US23370609P 2009-08-13 2009-08-13
US61/233,706 2009-08-13
US23412009P 2009-08-14 2009-08-14
US61/234,120 2009-08-14
PCT/US2010/042194 WO2011009002A2 (en) 2009-07-15 2010-07-15 Flow control features of cvd chambers

Publications (3)

Publication Number Publication Date
JP2012533890A JP2012533890A (ja) 2012-12-27
JP2012533890A5 true JP2012533890A5 (ja) 2013-08-29
JP5777615B2 JP5777615B2 (ja) 2015-09-09

Family

ID=43450221

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012520801A Active JP5777615B2 (ja) 2009-07-15 2010-07-15 Cvdチャンバの流れ制御機構

Country Status (6)

Country Link
US (3) US8894767B2 (ja)
JP (1) JP5777615B2 (ja)
KR (2) KR101598332B1 (ja)
CN (2) CN105088191B (ja)
TW (1) TWI490366B (ja)
WO (1) WO2011009002A2 (ja)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
EP2396449B1 (en) * 2009-02-13 2015-08-05 Gallium Enterprises Pty Ltd Plasma deposition
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8944003B2 (en) 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20140216498A1 (en) * 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN105441904B (zh) * 2014-06-18 2018-06-26 中微半导体设备(上海)有限公司 气体喷淋装置、化学气相沉积装置和方法
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9840777B2 (en) 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9896326B2 (en) 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10157787B2 (en) * 2015-12-17 2018-12-18 Applied Materials, Inc. Method and apparatus for depositing cobalt in a feature
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102515110B1 (ko) * 2018-01-29 2023-03-28 주성엔지니어링(주) 기판처리장치
US11222771B2 (en) * 2018-02-05 2022-01-11 Applied Materials, Inc. Chemical control features in wafer process equipment
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
CN110896050A (zh) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 介电薄膜的形成方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN112368807A (zh) * 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
KR20220032608A (ko) * 2019-07-15 2022-03-15 어플라이드 머티어리얼스, 인코포레이티드 평판 디스플레이들을 위한 대면적 고밀도 플라즈마 프로세싱 챔버
US20230167552A1 (en) * 2020-04-28 2023-06-01 Lam Research Corporation Showerhead designs for controlling deposition on wafer bevel/edge
CN114402426A (zh) * 2020-08-18 2022-04-26 玛特森技术公司 具有冷却系统的快速热处理系统
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
KR102589286B1 (ko) * 2021-08-17 2023-10-12 에스케이엔펄스 주식회사 가스 분사 장치 및 이를 포함하는 플라즈마 처리 장치
US20230097346A1 (en) * 2021-09-30 2023-03-30 Applied Materials, Inc. Flow guide apparatuses for flow uniformity control in process chambers
TWI809706B (zh) * 2022-02-10 2023-07-21 緊固電子束科技有限公司 氣體擴散結構及其維修方法

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
WO2001073159A1 (fr) 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Procede et appareil permettant de former un film metallique
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
WO2004088729A1 (en) * 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
WO2005059974A1 (en) * 2003-12-15 2005-06-30 Applied Materials, Inc. Edge flow faceplate for improvement of cvd film properties
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
CN101326629B (zh) * 2006-05-30 2011-05-25 应用材料股份有限公司 填充介电质间隙的制程室
JP2007324154A (ja) * 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5172617B2 (ja) * 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
KR101937115B1 (ko) * 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US20120258259A1 (en) * 2011-04-08 2012-10-11 Amit Bansal Apparatus and method for uv treatment, chemical treatment, and deposition
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
KR102156389B1 (ko) * 2016-05-20 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드

Similar Documents

Publication Publication Date Title
JP2012533890A5 (ja)
RU2013124126A (ru) Форсунка камеры сгорания турбомашины и способ ее изготовления
US9493933B2 (en) Pedestal strainer for a sink drain
WO2011009002A3 (en) Flow control features of cvd chambers
CN101415499B (zh) 用于离心分离器的转子单元
CN110496711B (zh) 用于离心分离机的盘组
RU2013147646A (ru) Дозирующий элемент для дозатора семян
CN105332992B (zh) 真空吸盘
RU2012113414A (ru) Устройство для снижения давления текучей среды
RU2013139730A (ru) Реактор вертикально-наборной конструкции
JP2017506922A5 (ja)
RU2015112931A (ru) Воспринимающий давление профиль балансировочного канала для улучшения показателей пропускной способности
RU2014134537A (ru) Сборочный узел жидкоохлаждаемого тормоза
JP2014509934A5 (ja)
CN103906974B (zh) 具有向内火焰的燃气燃烧器
JP2016519845A5 (ja)
US9115824B2 (en) Fluid control valve for high pressure surges
RU2018136365A (ru) Система приготовления пищи с промывочными элементами и распоркой
WO2016082520A1 (zh) 压力耦合式层析柱流体分配器
CN105534320A (zh) 锅盖和具有其的电饭煲
CN112023431B (zh) 气相分布装置、气体等量分配装置、精馏柱及集束精馏塔
JP2020522132A5 (ja)
JP2015120149A5 (ja)
WO2016037492A1 (zh) 气泵
JP6310453B2 (ja) チューブ式熱交換器