JP2011527832A - 不揮発性メモリデバイスを製作する方法 - Google Patents

不揮発性メモリデバイスを製作する方法 Download PDF

Info

Publication number
JP2011527832A
JP2011527832A JP2011517490A JP2011517490A JP2011527832A JP 2011527832 A JP2011527832 A JP 2011527832A JP 2011517490 A JP2011517490 A JP 2011517490A JP 2011517490 A JP2011517490 A JP 2011517490A JP 2011527832 A JP2011527832 A JP 2011527832A
Authority
JP
Japan
Prior art keywords
layer
forming
shadow mask
conductive layer
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011517490A
Other languages
English (en)
Other versions
JP5227455B2 (ja
Inventor
ピング,アー−シユエン
タクール,ランドヒール
ショイグラフ,クラウス
Original Assignee
サンディスク スリーディー,エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サンディスク スリーディー,エルエルシー filed Critical サンディスク スリーディー,エルエルシー
Publication of JP2011527832A publication Critical patent/JP2011527832A/ja
Application granted granted Critical
Publication of JP5227455B2 publication Critical patent/JP5227455B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76256Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques using silicon etch back techniques, e.g. BESOI, ELTRAN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/101Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including resistors or capacitors only
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

半導体デバイスを製作する方法は、絶縁層(108)に取り囲まれた柱形半導体デバイスを形成するステップであって、絶縁層内のコンタクトホール(111)が半導体デバイスの上面を露出させるステップを含む。この方法はまた、絶縁層(108)上にシャドウマスク層(302)を形成するステップであって、シャドウマスク層(302)の一部分がコンタクトホール(111)の一部分に突出するステップと、導電層を形成するステップであって、導電層の第1の部分(304)がコンタクトホール内に露出する半導体デバイスの上面に位置し、導電層の第2の部分(306)がシャドウマスク層(302)上に位置するステップと、シャドウマスク層(302)と導電層の第2の部分(306)とを除去するステップと、を含む。

Description

本発明は、一般的には半導体デバイス処理の分野に関し、より具体的には不揮発性メモリデバイスを製作する方法に関する。
関連出願への相互参照
本願は、その全体が本願明細書において参照により援用されている2008年7月11日に出願された米国特許出願第12/216,924号(特許文献1)の利益を主張する。
本願明細書において参照により援用されている2004年9月29日に出願されたHernerらの米国特許出願第10/955,549号(米国公開特許出願第2005/0052915号に相当)(特許文献2)には、柱形半導体接合ダイオードの多結晶半導体材の抵抗状態にメモリセルのデータ状態が蓄積される三次元メモリアレイが記述されている。かかる柱状ダイオードデバイスの製造には減法が使用される。この方法は、1つ以上のシリコン、ゲルマニウム、またはその他の半導体材層を堆積させることを含む。次に、堆積された半導体層をエッチングすることで半導体柱を得る。柱のエッチングにはハードマスクとしてSiO2 層を使用でき、これは後ほど除去できる。次に、柱の合間および上にSiO2 またはその他の間隙充填誘電材を堆積させる。次に、化学的機械的研磨(CMP)ステップまたはエッチバックステップを行い、間隙充填誘電体を柱の上面とともに平坦化する。
減法柱製造工程の詳細については、2004年12月17日に出願されたHernerらの「NONVOLATILE MEMORY CELL COMPRISING A REDUCED HEIGHT VERTICAL DIODE」という米国特許出願第11/015,824号(特許文献3)と、2007年7月25日に出願された米国特許出願第11/819,078号(特許文献4)とを参照されたい。ただし、減法では、エッチングマスクとして使用される薄く柔らかいフォトレジストにより半導体柱の高さを制限することができる。フォトレジストマスク材は半導体材より遅い速度でエッチングされるが、それでもなおエッチングされる。半導体材のエッチングが完了するときにはある程度のマスク材が残らなければならない。柱エッチング後の酸化物間隙充填ステップは、柱間で開口部の縦横比が増す場合、および/または空隙充填層のCMPプロセスまたはエッチバックにより堆積半導体材のかなりの厚みが除去される場合に、処理課題が存在する。最後に、柱サイズが減少するにつれ減法により形成される柱の機械的強度もまた減少する。
米国特許出願第12/216,924号 米国公開特許出願第2005/0052915号 米国特許出願第11/015,824号 米国特許出願第11/819,078号 米国公開特許出願第2006/0292301号 米国特許出願第12/007,780号 米国特許出願第12/007,781号 米国公開特許出願第2007/0164309号 米国特許出願第11/444,936号 米国特許第5,915,167号
S. B. Herner, Electrochemical and Solid-State Letters, 9(5) G161-G163 (2006)
本発明の一実施形態では、半導体デバイスを製作する方法を提供し、この方法は、絶縁層に取り囲まれた柱形半導体デバイスを形成するステップであって、絶縁層内のコンタクトホールは半導体デバイスの上面を露出させるステップを含む。この方法はまた、絶縁層上にシャドウマスク層を形成するステップであって、シャドウマスク層の一部分がコンタクトホールの一部分に突出するステップと、導電層を形成するステップであって、導電層の第1の部分がコンタクトホール内に露出する半導体デバイスの上面に位置し、導電層の第2の部分がシャドウマスク層上に位置するステップと、シャドウマスク層と導電層の第2の部分とを除去するステップと、を含む。
本発明の実施形態による柱状デバイスの形成段階を示す垂直断面図である。 本発明の実施形態による柱状デバイスの形成段階を示す垂直断面図である。 本発明の実施形態による柱状デバイスの形成段階を示す垂直断面図である。 本発明の実施形態による柱状デバイスの形成段階を示す垂直断面図である。 本発明の実施形態による柱状デバイスの形成段階を示す垂直断面図である。 本発明の実施形態による柱状デバイスの形成段階を示す垂直断面図である。 本発明の実施形態による柱状デバイスの形成段階を示す垂直断面図である。 本発明の実施形態による柱状デバイスの形成段階を示す垂直断面図である。 本発明の実施形態による完成した柱状デバイスの三次元図である。
本発明の一実施形態では、絶縁層によって取り囲まれた柱形半導体デバイスを形成し、絶縁層内のコンタクトホールが半導体デバイスの上面を露出させることにより半導体デバイスを製作する方法を提供する。必須ではないが好ましくは、柱形半導体デバイスを形成することは、絶縁層内の開口部の中へ半導体材を選択的に堆積させることを含み、開口部の下部分には半導体材が充填され、開口部の充填されない上部分はコンタクトホールを形成する。次に、絶縁体上にシャドウマスク層が形成され、シャドウマスク層の一部分はコンタクトホールの一部分に突出する。必須ではないが好ましくは、シャドウマスク層を形成することは、PECVDにより不十分なステップカバレッジによる絶縁シャドウマスク層を堆積させることを含み、絶縁シャドウマスク層は絶縁層の組成とは異なる組成を有する。次に、導電層が形成され、導電層の第1の部分はコンタクトホール内に露出する半導体デバイスの上面に位置し、導電層の第2の部分はシャドウマスク層上に位置する。この方法はまた、シャドウマスク層と導電層の第2の部分とを除去することを含む。
柱状デバイスは、ダイオード、トランジスタ等の何らかの適切な半導体デバイスの一部分をなす。好ましくは、柱状デバイスはp−i−nダイオード等のダイオードをなす。ダイオードは、好ましくは不揮発性メモリデバイスのステアリング素子をなす。後ほど詳述するように、コンタクトホールの中、導電層の第1の部分の上に、抵抗切替素子も形成される。切替素子上には上位電極が形成される。導電層はシャドウマスクを使って形成されるため、コンタクトホールの底部に位置する導電層の第1の部分は、コンタクトホールの側壁沿いに延在しない。したがって、導電層から上位電極にかけて短絡は発生しない。
図1a〜図1iでは好適な柱状デバイスを形成する方法を示す。
図1aを参照すると、デバイスが基板100上に形成されている。基板100は、単結晶シリコン、シリコン−ゲルマニウムまたはシリコン−ゲルマニウム−カーボン等のIV−IV化合物、III−V化合物、II−VI化合物、かかる基板上のエピタキシャル層等の当該技術分野で公知の何らかの半導体基板であってもよいし、あるいはガラス、プラスチック、金属、またはセラミック基板等の半導体もしくは非半導体材であってもよい。基板は、メモリデバイスのためのCMOS型ドライバ回路等の基板の上に集積回路を含むことができる。絶縁層102は、好ましくは基板100上と基板上に形成されるドライバ回路上に形成される。絶縁層102は、酸化シリコン、窒化シリコン、高誘電率膜、Si−C−O−H膜、または他の何らかの適切な絶縁材であることができる。
第1の導電層200は基板100および絶縁層102にわたって形成される。導電層200は、タングステン、および/またはアルミニウム、タンタル、チタン、銅、コバルト、またはこれらの合金を含む他の材料等の当該技術分野で公知の何らかの導電材を含むことができる。絶縁層102に対する導電層の接着を助けるため、絶縁層102と導電層との間にはTiN、Ti、および/またはTa層等の任意の接着層を含めることができる。例えば、Cu導電層にはTa接着層を使用し、WまたはAl導電層にはTiNまたはTi接着層を使用することができる。
Ta、Ti、WN、TaN、またはTiN等の障壁層202が第1の導電層200の上に形成される。第1の導電層200の上面がタングステンの場合、TiNの代わりに、タングステンの上面を窒化することにより導電層200の上に窒化タングステンを形成できる。WまたはAl導電層200には障壁層202としてTiNまたはTiを使用し、Cu導電層200にはTa障壁層202を使用することができる。例えば、Ti(底部)/Al/TiN(上部)、またはTi/TiN/Al/TiN、またはTi/Al/TiW、またはこれらの層の組み合わせといった導電層の組み合わせを使用することができる。底部TiまたはTi/TiN層は接着層として機能し、Al層は導電層200として機能し、上部TiNまたはTiW層は障壁層202として機能することができるほか、電極204のパターニングのための反射防止コーティングとして、後続の絶縁体108 CMPのための任意のポリッシュストップ材として、ならびに後述する選択的半導体シード堆積基板としても機能することができる。
最後に、何らかの適切なプロセスを用いて導電層200および障壁層202がパターニングされる。導電層200および障壁層202を、メモリデバイスのレール形底部電極204となるようにパターニングすることができる。例えば、代わりに電極204がダマシン法により形成される場合には、何らかの適切な堆積法により、例えばスパッタリングまたはMOCVDにより、少なくとも導電層200が絶縁層102内の溝と絶縁層101の上面上に形成される。その後の平坦化ステップ、例えば化学的機械的研磨(CMP)ステップでは、絶縁層102の上面から導電層200を除去し、Cu、Al、またはW等の導電材を絶縁層102の溝内に残す。次に、選択的エッチングにより、導電層200は絶縁層102の上面より下、溝内に埋め込まれる。次に、絶縁層102の溝にて、溝内に露出される埋込導電層200にわたり、そして絶縁層102の上面にわたり、障壁層202が形成される。その後、障壁層202はCMPにより平坦化され、層102の溝内の導電層200部分に障壁層202が残り、下位電極204が完成する。あるいは、絶縁層102の上面と絶縁層102の溝内に導電層200および障壁層202が順次堆積され、その後の単独CMP平坦化ステップにより電極204を形成する。出来上がった構造を図1aに示す。
代わりに、ダマシンプロセスではなくパターニングおよびエッチングプロセスにより電極204を形成することもできる。この場合には、層200および202を平面上に順次堆積させ、障壁層202上にフォトレジスト層を堆積させ、フォトリソグラフィによりフォトレジスト層がパターニングされ、次にパターニングされたフォトレジスト層をマスクとして使用して層200および202がエッチングされることで下位電極204が形成される。次に、標準的な処理法によりフォトレジスト層が除去される。下位電極204のまわりには絶縁層が形成される。
次に、図1bへ進むと、電極204上に絶縁層108を堆積させる。絶縁層108は、酸化シリコン、窒化シリコン、酸窒化シリコン等の導電材、その他の有機または無機高誘電率絶縁材であってもよい。CMPにより絶縁層108を所望の時間にわたり平坦化することで、平らな表面を得る。
次に、絶縁層108がフォトリソグラフィによりパターニングされ、電極204の障壁202の上面まで延在しこれを露出する開口部110を形成する。各半導体柱300をそれぞれの電極204上に形成するため、開口部110は下の電極204とほぼ同じピッチとほぼ同じ幅とを有する(図1cとの関係で後述する)。ある程度の不揃いは許容することができる。図1bには出来上がった構造が見られる。
図1cを参照すると、開口部110の中、各電極204の障壁202部分の上に、垂直の半導体柱300が形成される。柱の半導体材は、シリコン、ゲルマニウム、またはゲルマニウムに富むシリコンゲルマニウム等のシリコン−ゲルマニウム合金であってもよい。柱状デバイス300の所望の最終用途次第では炭化シリコン等の他の半導体材、GaAs、GaN等のIII−V族材、ZnSe、CdTe等のII−VI族材を使用することもできる。半導体材は、堆積条件、後続の結晶化アニーリング、その他の条件に応じて多結晶、アモルファス、または単結晶であることができる。
好ましくは、柱300の半導体材を、絶縁層108の開口部110の中へ選択的に堆積させる。例えば、図1cに見られるように、低圧化学蒸着(LPCVD)により、TiN障壁上に位置する薄いSiシード層にゲルマニウム柱300を選択的に堆積させることができる。例えば、Ge柱の堆積にあたっては、いずれもその全体が本願明細書において参照により援用されている、2005年6月22日に出願された米国特許出願第11/159,031号(米国公開特許出願第2006/0292301号として公開)(特許文献5)と2008年1月15日に出願された米国特許出願第12/007,780号(特許文献6)に記載された方法を用いることができる。好ましくは、柱300全体を選択的に堆積させる。ただし、さほど好適ではない実施形態において、ダイオードの側壁短絡を防ぐため、シード層/TiN障壁に堆積される柱300の最初の約20nmのみが二酸化シリコンに対して高い選択性を有する必要があり、柱の残りの部分は非選択的に堆積させることができる。
例えば、380℃と1Torrの圧力にて60分にわたり500sccmのSiH4を流すことにより薄いSiシード層をTiN上に堆積させることができる。次に、シランの流れを止め、同じ温度ならびに圧力にて100sccmのGeH4を流すことでGeを堆積させる。Geは380℃を下回る温度、例えば340℃で堆積させることができる。10分間の堆積後には、TiN層上に位置するSiシード層に約40nmのゲルマニウムを選択的に堆積させることができる。いずれも380℃以下の温度で行われる2ステップからなる堆積により、近傍のSiO2 表面ではなくTiN上に選択的にGeを堆積させることができる。本願明細書において参照により援用されているS. B. Herner, Electrochemical and Solid-State Letters, 9(5) G161-G163 (2006)(非特許文献1)には、2ステップからなる平坦Ge膜堆積の一例が記載されている。好ましくは、シリコンシード層を440℃未満の温度で堆積させ、ゲルマニウム柱を400℃未満の温度で堆積させる。これとは別の同様の選択的堆積法をシリコン(ポリシリコン、アモルファスシリコン等)またはその他の半導体材に用いることもできる。堆積温度を下げるため、リモートプラズマCVDプロセスを用いることができる。
好ましくは、半導体柱300は各開口部110を部分的に充填する。換言すると、開口部110の下部分は柱300の半導体材により選択的に充填され、開口部110の充填されない上部分はコンタクトホール111として残り、柱300の上部分がそこに露出する。
代わりに、非選択的堆積により柱300を形成することもできる。例えば、ダマシンタイプのプロセスにより柱300を形成でき、この場合には開口部110の中と絶縁層108の上面にわたって柱の半導体材が形成される。次に、エッチバックまたはCMPにより半導体材が平坦化され、開口部の中には半導体柱300が残る。CMP平坦化が使用される場合には、その後にポリシリコンまたは多結晶ゲルマニウム選択的ウェットエッチング等の埋込エッチングを使用することで、開口部110内の半導体柱300を選択的に埋め込ませ、コンタクトホール111を形成することができる。その全体が本願明細書において参照により援用されている2008年1月15日に出願された米国特許出願第12/007,781号(特許文献7)には、ダマシンタイプの柱形成法が記載されている。
別の代替のプロセスでは、パターニングおよびエッチングにより柱300を形成することができる。この方法では、1つ以上の半導体層が電極204上に形成される。次に、フォトリソグラフィにより柱300となるように半導体層がパターニングされる。次に、柱300のまわりに絶縁層108が形成される。必要であればその後に埋込エッチングを使用し、開口部110内の半導体柱300を選択的に埋め込ませコンタクトホール111を形成することができる。
好適な実施形態において、柱300は半導体接合ダイオードを含む。ここで用いる接合ダイオードという用語は、非オーム伝導特性を備え、2つの端子電極を有し、一方の電極がp形で他方がn形の半導体材でできた半導体デバイスを指す。例えば、ツェナーダイオードのようにp形半導体材とn形半導体材とが接触するp−nダイオードならびにn−pダイオードや、p形半導体材とn形半導体材との間に真性(非ドープ)半導体材を挟むp−i−nダイオード等がある。
ダイオード300の底部の高濃度にドープされた領域112を、選択的な堆積およびドーピングにより形成することができる。半導体材を堆積させた後にドーピングを行うこともできるが、好ましくはシリコンまたはゲルマニウムの選択的CVDのときに、例えばリン(すなわち、ゲルマンガスへホスフィンガスを付加する形式で)のn形ドーパント原子を提供するガスを含むドーパントを流してその場で(in situ) ドーピングを行う。高濃度にドープされた領域112は、好ましくは厚みが約10〜約80nmである。
次に、選択的CVD法により真性ダイオード領域114を形成することができる。真性領域114の堆積は別個のCVDステップで実施できるし、または領域112の堆積と同じCVDステップのときにホスフィン等のドーパントガスの流れをオフにすることにより実施できる。真性領域114は、厚みが約110〜約330nmであり、好ましくは約200nmである。ここで、任意のCMPプロセスを実施し絶縁層108上の真性半導体材を除去し、次のリソグラフィステップに向けて表面を平坦化できる。次に、選択的CVD法によりp形上部領域116が形成される。p形上部領域116の堆積は領域114の堆積ステップとは別個のCVDステップで実施できるし、または領域114の堆積ステップと同じCVDステップのときに三塩化ホウ素等のドーパントガスの流れをオンにすることにより実施できる。p形領域116は、厚みが約10〜約80nmである。ここで任意のCMPプロセスを実施して絶縁層108上のp形半導体を除去し、次のリソグラフィステップに向けて表面を平坦化できる。
代わりに、真性領域114の上部領域へのイオン注入によりp形領域116を形成することができる。p形ドーパントは、好ましくはホウ素またはBF2 である。堆積中にドーパントを活性化するため、リモートプラズマ支援プロセスを用いることができる。p形領域116の形成により柱形ダイオード300の形成は完了する。図1cには出来上がった構造が見られる。
図の例で底部領域112はN+ (高濃度にドープされたn形)、上部領域116はP+ である。ただし、別の構造を含む垂直柱も可能である。例えば、底部領域112をP+ とし、上部領域116をN+ とすることもできる。加えて、中部領域は意図的に低濃度でドープするか、または真性にするか、あるいは意図的にドープしないことにする。ドープされない領域が電気的に完璧に中性になることはなく、必ず欠陥や汚染物を含むために、わずかにn−ドープかわずかにp−ドープのように振る舞う。そのようなダイオードはp−i−nダイオードとみなすことができる。つまりP+ /N- /N+ 、P+ /P- /N+ 、N+ /N- /P+ 、またはN+ /P- /P+ ダイオードを形成することができる。
柱300のピッチと幅は開口部110によって決まり、任意に変えることができる。好適な一実施形態において、柱のピッチ(1柱の中心から次の柱の中心までの距離)は約300nmであり、柱の幅は約100〜約150nmである。好適な別の実施形態において、柱のピッチは約260nmであり、柱の幅は約90〜130nmである。一般的に、柱300は、好ましくは直径250nm以下の断面が円形かほぼ円形の概ね円筒形を有する。
デバイス製造法の次のステップを図1dに示す。絶縁層108の上にはシャドウマスク層302が形成され、シャドウマスク層の一部分は絶縁層108の開口部110の一部分(つまり、開口部110のコンタクトホール111部分の周縁部分)に突出する。シャドウマスク層302は、好ましくは不十分なステップカバレッジによりコンタクトホール111に突出する絶縁層である。層302は、絶縁層108の組成と異なる組成を有する。例えば、絶縁層108が酸化シリコンなら、シャドウマスク層302はプラズマ化学気相堆積法(PECVD)により不十分なステップカバレッジで形成されるBPSG層または窒化シリコンであることができる。シャドウ特性をさらに高めるためにケミカルウェットクリーニングを使用することができる。この特性は調整ドライエッチングプロセスにより達成することもでき、この場合にはその後の導電層PVD指向性堆積を遮るために上部コンタクトホールは弓形を有する。換言すると、コンタクトホール111の側壁は凹形を有する。
次に、図1eに示すように導電層が形成され、導電層の第1の部分304はコンタクトホール111内に露出する柱状デバイス300の上面に位置し、導電層の第2の部分306はシャドウマスク層302上に位置する。導電層は、窒化チタン、チタン、銅、アルミニウム、タングステン、タンタル等の1つ以上の導電材を含むことができる。TiNが好ましい。スパッタリングまたはその他の物理的気相堆積(PVD)法等、導電層を何らかの適切な方法により堆積させることができる。
図1eに示されるステップの後には、図1fに示される開口部110のコンタクトホール111部分に流動材308が充填される。流動材308は以降のCMPステップのときにパターンの崩落を防ぐことができる任意の有機または無機充填材もしくはレジストを含むことができる。例えば、スピンオン堆積やその他の類似の方法により流動材308を堆積させることができ、流動材308はコンタクトホール111にて選択的に定着し、導電層の第2の部分306の上面と相対的に同じ高さになる上面を有する。
次に、CMPおよび/またはエッチバック等の何らかの適切な方法によりシャドウマスク層302と導電層の第2の部分306とが除去される。CMPが好ましい。CMPプロセスは絶縁層108の上面に達した時点で停止する。流動材308は、CMPのときにシャドウマスク層302と導電層の第2の部分306とがコンタクトホール111の中に崩落するのを防ぐ。代わりに、リフトオフプロセスによりシャドウマスク層302と導電層の第2の部分306とを除去することができる。このプロセスではシャドウマスク層302を選択的に除去するかもしくはエッチングすることで導電層の第2の部分306を離昇させる。この場合の層302はフォトレジスト層を含むことができる。ただし、シャドウマスク層としてレジスト層を使用する場合には、レジスト汚染を回避するように注意しなければならない。
層302と導電層の第1の部分306とが除去されたら、図1gに見られるようにCMPステップの後にコンタクトホールから流動材308が除去される。流動材308は選択的エッチングまたはプラズマストリッピング(流動材308がレジストの場合)により除去できる。あるいは、流動材308が低温材の場合には、加熱によりこれを蒸発もしくは液化することにより除去できる。
一部の実施形態では導電層の第1の部分304が上位電極として機能する。この場合には、流動材308の除去をもってプロセスが完了する。図1gには完成したデバイスを示す。ただし、好適な実施形態では、導電層の第1の部分304が不揮発性メモリデバイスのステアリング素子と抵抗切替素子との間に位置する中間導電層を含む。
例えば、ダイオードデバイスなどの柱状デバイスは、ワンタイムプログラマブル(OTP)または書き換え可能な不揮発性メモリデバイスの一部分を含むことができる。例えば、図1hおよび図1iに示すように、各ダイオード柱300はメモリセルのステアリング素子として機能し、(すなわち、データを蓄積する)抵抗切替素子または抵抗切替材として機能する別の材料または層310はダイオード300と直列に設けられる。図1hに示すように、導電層の第1の部分304は不揮発性メモリセルのステアリング素子300と切替素子310との間で中間導電層を形成する。図1iに示すように、前述した下位電極204はメモリセルの底部電極として機能し、切替素子310上にはメモリセルのさらなる上位電極400が形成される。導電層304、306はシャドウマスクを使って形成されるため、コンタクトホール111の底部に位置する導電層の第1の部分304は、コンタクトホールの側壁沿いに延在しない。したがって、導電層から上位電極400にかけて抵抗切替素子310をかこむホール111の側壁沿いに短絡は発生しない。
具体的に、図1iに示す1不揮発性メモリセルは、アンチヒューズ(アンチヒューズ誘電体)、ヒューズ、ポリシリコンメモリ効果材、金属酸化物(酸化ニッケル、ペロブスカイト材等)、カーボンスイッチャブル抵抗材(グラフェン、カーボンナノチューブ、多結晶またはアモルファスカーボン等)、相変化材、スイッチャブル複合金属酸化物、導電性ブリッジ素子、もしくはスイッチャブルポリマー等の抵抗切替材310と直列の柱状ダイオード300とを含む。ダイオード柱300と導電層部分304(明確化のために図1iでは省略する)の上にはCVD等の何らかの適切な方法により薄い酸化シリコンアンチヒューズ誘電層等の抵抗切替材310を堆積させることができ、その後、アンチヒューズ誘電層の上には上位電極400を堆積させる。あるいは、ダイオード柱300の下に、例えば導電層200および202間に、抵抗切替材310を配置することもできる。この実施形態では、電極204および400間に提供される順方向および/または逆方向バイアスに応じて抵抗切替材310の抵抗が増減する。
別の実施形態では柱状ダイオード300そのものがデータ蓄積デバイスとして使用される。この実施形態では、いずれも本願明細書において参照により援用されている、2004年9月29日に出願された米国特許出願第10/955,549号(米国公開特許出願第2005/0052915号に相当)(特許文献2)と2007年3月30日に出願された米国特許出願第11/693,845号(米国公開特許出願第2007/0164309号に相当)(特許文献8)に記載されているように、電極204および400間に提供される順方向および/または逆方向バイアスの印加により柱状ダイオード300の抵抗が変化する。この実施形態では必要に応じて抵抗切替材310を省略できる。
上位電極400は底部電極204と同様に形成でき、例えばTi(底部)/Al/TiN(上部)、Ti/TiN/Al/TiN、Ta/Cu/Ta、TiN/Cu、TiN/Cu/TiN、またはこれらの層の組み合わせとして堆積させることにより形成できる。後述するように、上のTiN層は、導体をパターニングするための反射防止コーティングとして、ならびに絶縁層の後続CMPのためのポリッシュストップ材として使用できる。前述した導電層は何らかの適切なマスキングおよびエッチング法を用いてパターニングならびにエッチングされ、導体レール204に対して垂直に延在する概ね平行で概ね同一平面の導体レール400を形成する。好適な実施形態において、フォトレジストが堆積され、フォトリソグラフィによりパターニングされ、層がエッチングされ、フォトレジストは標準的な処理法を用いて除去される。あるいは、酸化、窒化、または酸窒化シリコン層等のオプションの絶縁層が抵抗切替素子310上ならびに絶縁層308上に形成され、本願明細書において参照により援用されている2006年5月31日に出願された「CONDUCTIVE HARD MASK TO PROTECT PATTERNED FEATURES DURING TRENCH ETCH 」という米国特許出願第11/444,936号(特許文献9)に記載され、前述したダマシンプロセスにより導体400が形成される。ダマシンプロセスを用いて上位電極を形成する場合は、任意のエッチングストップ層を抵抗切替素子310上に堆積させることができる。エッチングストップ層は絶縁層におけるトレンチの形成後に除去され、そこに上位電極400が形成される。
以上、第1のメモリレベルの形成を説明した。この第1のメモリレベルの上にさらなるメモリレベルを形成することで、モノリシック型の三次元メモリアレイを形成できる。一部の実施形態では、複数のメモリレベルで導体を共有できる。つまり、上位導体400を次のメモリレベルの底部導体として使用する。別の実施形態では、第1のメモリレベルの上にレベル間誘電体(図示せず)を形成し、その表面を平坦化し、この平坦化されたレベル間誘電体上に第2のメモリレベルを構築し、導体は共有しない。
モノリシックな三次元メモリアレイとは、ウェハ等の単一基板上に多数のメモリレベルを形成したものであって、レベル間に基板は介在しない。1メモリレベルを形成する層を、既存レベルの層上に直接堆積させるかもしくは成長させる。これとは対照的に、Leedy の「THREE DIMENSIONAL STRUCTURE MEMORY」という米国特許第5,915,167号(特許文献10)にあるように、これまで積層されたメモリの構築にあたってはメモリレベルをそれぞれ別々の基板上に形成し、上下のメモリレベルを互いに接着していた。接着に先立ち基板を薄くしたりまたはメモリレベルから取り除くこともできるが、メモリレベルはそもそも別々の基板上に形成されているから、そのようなメモリは真のモノリシックな三次元メモリアレイではない。Leedy が説明するプロセスとは対照的に、本発明の実施形態では、ダイオードが2つの隣接する層間で導線または電極を共有する(つまり、各ダイオードの同じ導電性タイプの層がダイオード間に位置する同じ線または電極と電気的に接触する)。この構成では2つのダイオードが間にある線を共有できるが、読み出しまたは書き込みディスターブの問題は生じない。
基板上に形成されるモノリシックな三次元メモリアレイは少なくとも、基板から上に第1の高さに形成される第1のメモリレベルと、第1の高さとは異なる第2の高さに形成される第2のメモリレベルとを備える。かかる多レベルのアレイでは、基板の上に3レベル、4レベル、8レベルといったメモリレベルを形成してもよく、実際には何レベルでも形成できる。
当業者ならば本願明細書に開示された教示に基づき本発明を容易く実践できるであろうことが見込まれる。ここに提示された種々の実施形態の説明は、当業者が本発明を実践するにあたって本発明について十分な見識と情報を提供するものと考えられる。ある種の支援回路と製造ステップについては具体的に説明されていないが、かかる回路やプロトコルは周知であり、本発明を実践するにあたってかかるステップに違いがあっても特段の利点が得られるわけではない。また、本願明細書に開示された教示を得た当業者ならば、必要以上の実験をせずとも本発明を実施できるであろうと考えられる。
前述した詳細な説明では本発明の数ある実施例のごく一部を説明したにすぎない。このため、この詳細な説明は限定ではなく例証を意図するものである。本発明の範囲および趣旨から逸脱せずとも、ここに記載された説明をもとに、ここに開示された実施形態の変形ならびに修正は可能である。本発明の範囲を規定するものは添付の特許請求の範囲とその同等物のみである。

Claims (20)

  1. 半導体デバイスを製作する方法であって、
    絶縁層に取り囲まれた柱形半導体デバイスを形成するステップであって、前記絶縁層内のコンタクトホールが前記半導体デバイスの上面を露出させるステップと、
    前記絶縁層上にシャドウマスク層を形成するステップであって、前記シャドウマスク層の一部分が前記コンタクトホールの一部分に突出するステップと、
    導電層を形成するステップであって、前記導電層の第1の部分が前記コンタクトホール内に露出する前記半導体デバイスの上面に位置し、前記導電層の第2の部分が前記シャドウマスク層上に位置するステップと、
    前記シャドウマスク層と前記導電層の第2の部分とを除去するステップと、
    を含む方法。
  2. 請求項1記載の方法において、
    前記シャドウマスク層を形成するステップは、PECVDにより絶縁シャドウマスク層を堆積させることを含み、
    前記絶縁シャドウマスク層は、前記絶縁層の組成とは異なる組成を有する方法。
  3. 請求項2記載の方法において、
    前記シャドウマスク層は窒化シリコンまたはBPSGを備え、前記絶縁層は酸化シリコンを備える方法。
  4. 請求項1記載の方法において、
    前記除去するステップは、CMPまたはエッチバックにより前記シャドウマスク層と前記導電層の第2の部分とを除去することを含む方法。
  5. 請求項1記載の方法において、
    前記シャドウマスク層と前記導電層の第2の部分とを除去するステップに先立ち、前記コンタクトホールに流動材を充填するステップと、
    前記シャドウマスク層と前記導電層の第2の部分とを除去するステップの後に前記流動材を除去するステップと、
    をさらに含む方法。
  6. 請求項1記載の方法において、
    前記半導体デバイスは、不揮発性メモリデバイスのステアリング素子を備える方法。
  7. 請求項6記載の方法において、
    前記半導体デバイスは、柱形ダイオードを備える方法。
  8. 請求項7記載の方法において、
    前記柱形半導体デバイスを形成するステップは、前記絶縁層内の開口部の中へ半導体材を選択的に堆積させることを含み、開口部の下部分には前記半導体材が充填され、前記開口部の充填されない上部分が前記コンタクトホールを形成する方法。
  9. 請求項6記載の方法において、
    前記コンタクトホールの中、前記導電層の第1の部分の上に、抵抗切替素子を形成するステップをさらに含む方法。
  10. 請求項9記載の方法において、
    前記抵抗切替素子は、アンチヒューズ、ヒューズ、ポリシリコンメモリ効果セル、金属酸化物メモリ、スイッチャブル複合金属酸化物、カーボンスイッチャブル抵抗材、相変化材メモリ、導電性ブリッジ素子、またはスイッチャブルポリマーメモリから選択される方法。
  11. 請求項9記載の方法において、
    前記半導体デバイスの下に下位電極を形成するステップと、
    前記抵抗切替素子上に上位電極を形成するステップと、
    をさらに含む方法。
  12. 請求項1記載の方法において、
    前記シャドウマスク層を形成するステップの後にケミカルウェットクリーニングステップを遂行するステップをさらに含む方法。
  13. 請求項1記載の方法において、
    前記コンタクトホールは、弓形を有する方法。
  14. 請求項1記載の方法において、
    前記導電層を形成するステップは、PVDによりTiN層を形成することを含む方法。
  15. 不揮発性メモリデバイスを製作する方法であって、
    下位電極を形成するステップと、
    絶縁層を形成するステップと、
    前記絶縁層内に開口部を形成して、前記下位電極の少なくとも一部分を露出するステップと、
    前記開口部にて前記下位電極と電気的に接触する柱形ダイオードステアリング素子を形成するステップであって、前記ダイオードステアリング素子が前記開口部を部分的に充填するステップと、
    前記絶縁層上にシャドウマスク層を形成するステップであって、前記シャドウマスク層の一部分が前記開口部の一部分に突出するステップと、
    導電層を形成するステップであって、前記導電層の第1の部分が前記開口部内に露出する前記ダイオードステアリング素子の上面に位置し、前記導電層の第2の部分が前記シャドウマスク層上に位置するステップと、
    前記シャドウマスク層と前記導電層の第2の部分とを除去するステップと、
    前記開口部の中、前記導電層の第1の部分の上に、抵抗切替素子を形成するステップと、
    前記抵抗切替素子上に上位電極を形成するステップと、
    を含む方法。
  16. 請求項15記載の方法において、
    前記シャドウマスク層を形成するステップは、PECVDにより絶縁シャドウマスク層を堆積させることを含み、
    前記絶縁シャドウマスク層は、前記絶縁層の組成とは異なる組成を有し、
    前記柱形ダイオードステアリング素子を形成するステップは、前記開口部の中に多結晶またはアモルファス半導体ダイオード材を選択的に堆積させることを含む方法。
  17. 請求項16記載の方法において、
    前記シャドウマスク層は、窒化シリコンまたはBPSGを備え、
    前記絶縁層は、酸化シリコンを備え、
    前記導電層は、PVDにより形成されるTiN層を備え、
    前記抵抗切替素子は、アンチヒューズ、ヒューズ、直列に配置されたダイオードおよびアンチヒューズ、ポリシリコンメモリ効果セル、金属酸化物メモリ、スイッチャブル複合金属酸化物、カーボンスイッチャブル抵抗材、相変化材メモリ、導電性ブリッジ素子、またはスイッチャブルポリマーメモリから選択され、
    前記除去するステップは、CMPまたはエッチバックにより前記シャドウマスク層と前記導電層の第2の部分とを除去することを含む方法。
  18. 請求項15記載の方法において、
    前記導電層を形成するステップの後で、前記シャドウマスク層と前記導電層の第2の部分とを除去するステップの前に、流動材により前記開口部を充填するステップと、
    前記シャドウマスク層と前記導電層の第2の部分とを除去するステップの後に前記流動材を除去するステップと、
    をさらに含む方法。
  19. 請求項15記載の方法において、
    前記導電層の第1の部分は、前記開口部の側壁沿いに延在しない方法。
  20. 不揮発性メモリデバイスを製作する方法であって、
    下位電極を形成するステップと、
    酸化シリコン絶縁層を形成するステップと、
    前記絶縁層内に開口部を形成して、前記下位電極の少なくとも一部分を露出するステップと、
    前記開口部にて前記下位電極と電気的に接触する柱形半導体ダイオードステアリング素子を選択的に堆積させるステップであって、前記ダイオードステアリング素子が前記開口部を充填するステップと、
    PECVDにより前記絶縁層上にBPSGまたは窒化シリコンシャドウマスク層を形成するステップであって、前記シャドウマスク層の一部分が前記開口部の一部分に突出するステップと、
    TiN導電層を形成するステップであって、前記開口部で露出された前記導電層の第1の部分が前記ダイオードステアリング素子の上面に位置し、前記導電層の第2の部分が前記シャドウマスク層上に位置するステップと、
    前記TiN導電層を形成するステップの後に流動材により前記開口部を充填するステップと、
    CMPにより前記シャドウマスク層と前記導電層の第2の部分とを除去するステップと、
    前記シャドウマスク層と前記導電層の第2の部分とを除去するステップの後に前記流動材を除去するステップと、
    前記開口部の中、前記導電層の第1の部分の上に、抵抗切替素子を形成するステップと、
    前記抵抗切替素子上に上位電極を形成するステップと、
    を含む方法。
JP2011517490A 2008-07-11 2009-07-02 不揮発性メモリデバイスを製作する方法 Expired - Fee Related JP5227455B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/216,924 US7579232B1 (en) 2008-07-11 2008-07-11 Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
US12/216,924 2008-07-11
PCT/US2009/049518 WO2010005866A1 (en) 2008-07-11 2009-07-02 Method of making a nonvolatile memory device

Publications (2)

Publication Number Publication Date
JP2011527832A true JP2011527832A (ja) 2011-11-04
JP5227455B2 JP5227455B2 (ja) 2013-07-03

Family

ID=40911031

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011517490A Expired - Fee Related JP5227455B2 (ja) 2008-07-11 2009-07-02 不揮発性メモリデバイスを製作する方法

Country Status (7)

Country Link
US (1) US7579232B1 (ja)
EP (1) EP2308089A1 (ja)
JP (1) JP5227455B2 (ja)
KR (1) KR101610422B1 (ja)
CN (1) CN102089880B (ja)
TW (1) TWI381490B (ja)
WO (1) WO2010005866A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064969B2 (en) 2013-09-10 2015-06-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of fabricating the same

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8063394B2 (en) * 2008-10-08 2011-11-22 Qimonda Ag Integrated circuit
US7910407B2 (en) * 2008-12-19 2011-03-22 Sandisk 3D Llc Quad memory cell and method of making same
US9460807B2 (en) 2010-08-20 2016-10-04 Shine C. Chung One-time programmable memory devices using FinFET technology
US9818478B2 (en) * 2012-12-07 2017-11-14 Attopsemi Technology Co., Ltd Programmable resistive device and memory using diode as selector
US10229746B2 (en) 2010-08-20 2019-03-12 Attopsemi Technology Co., Ltd OTP memory with high data security
US10916317B2 (en) 2010-08-20 2021-02-09 Attopsemi Technology Co., Ltd Programmable resistance memory on thin film transistor technology
US10249379B2 (en) 2010-08-20 2019-04-02 Attopsemi Technology Co., Ltd One-time programmable devices having program selector for electrical fuses with extended area
US10923204B2 (en) 2010-08-20 2021-02-16 Attopsemi Technology Co., Ltd Fully testible OTP memory
US9070437B2 (en) 2010-08-20 2015-06-30 Shine C. Chung Circuit and system of using junction diode as program selector for one-time programmable devices with heat sink
US9496033B2 (en) 2010-08-20 2016-11-15 Attopsemi Technology Co., Ltd Method and system of programmable resistive devices with read capability using a low supply voltage
US9711237B2 (en) 2010-08-20 2017-07-18 Attopsemi Technology Co., Ltd. Method and structure for reliable electrical fuse programming
US9824768B2 (en) 2015-03-22 2017-11-21 Attopsemi Technology Co., Ltd Integrated OTP memory for providing MTP memory
US10586832B2 (en) 2011-02-14 2020-03-10 Attopsemi Technology Co., Ltd One-time programmable devices using gate-all-around structures
US8848423B2 (en) 2011-02-14 2014-09-30 Shine C. Chung Circuit and system of using FinFET for building programmable resistive devices
US10192615B2 (en) 2011-02-14 2019-01-29 Attopsemi Technology Co., Ltd One-time programmable devices having a semiconductor fin structure with a divided active region
US20120223299A1 (en) * 2011-03-04 2012-09-06 Jun Liu Metal/oxide one time progammable memory
US8879299B2 (en) 2011-10-17 2014-11-04 Sandisk 3D Llc Non-volatile memory cell containing an in-cell resistor
TWI608483B (zh) * 2012-12-07 2017-12-11 上峰科技股份有限公司 可程式編輯電阻元件記憶體、可程式編輯電阻記憶體操作方法及電子系統
US11062786B2 (en) 2017-04-14 2021-07-13 Attopsemi Technology Co., Ltd One-time programmable memories with low power read operation and novel sensing scheme
US10726914B2 (en) 2017-04-14 2020-07-28 Attopsemi Technology Co. Ltd Programmable resistive memories with low power read operation and novel sensing scheme
US10535413B2 (en) 2017-04-14 2020-01-14 Attopsemi Technology Co., Ltd Low power read operation for programmable resistive memories
US11615859B2 (en) 2017-04-14 2023-03-28 Attopsemi Technology Co., Ltd One-time programmable memories with ultra-low power read operation and novel sensing scheme
US10770160B2 (en) 2017-11-30 2020-09-08 Attopsemi Technology Co., Ltd Programmable resistive memory formed by bit slices from a standard cell library
US10199434B1 (en) 2018-02-05 2019-02-05 Sandisk Technologies Llc Three-dimensional cross rail phase change memory device and method of manufacturing the same
US10468596B2 (en) 2018-02-21 2019-11-05 Sandisk Technologies Llc Damascene process for forming three-dimensional cross rail phase change memory devices
US10580976B2 (en) 2018-03-19 2020-03-03 Sandisk Technologies Llc Three-dimensional phase change memory device having a laterally constricted element and method of making the same
CN110875426B (zh) * 2018-08-29 2023-07-18 中芯国际集成电路制造(上海)有限公司 纳米管随机存储器及其形成方法

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5815250A (ja) * 1981-07-21 1983-01-28 Fujitsu Ltd 半導体装置の製造方法
JPS60142545A (ja) * 1983-12-27 1985-07-27 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 多層複合構造体
JPS61215286A (ja) * 1985-03-20 1986-09-25 Hitachi Ltd 半導体膜のパタ−ン形成方法
JPS61244026A (ja) * 1985-04-22 1986-10-30 Toshiba Corp 半導体装置の製造方法
JPH02144917A (ja) * 1988-11-28 1990-06-04 Hitachi Ltd リフトオフ法を利用した選拓的cvd法によるタングステン膜形成方法
JPH0360055A (ja) * 1989-07-25 1991-03-15 Smc Standard Microsyst Corp 集積回路の製造方法
JPH0397231A (ja) * 1989-09-09 1991-04-23 Tadahiro Omi 素子の作製方法並びに半導体素子およびその作製方法
JPH06215329A (ja) * 1992-11-18 1994-08-05 Mitsumi Electric Co Ltd 微細導電パターンの形成方法
JP2000323429A (ja) * 1999-05-12 2000-11-24 Sony Corp 半導体装置およびその製造方法
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20050098800A1 (en) * 2002-12-19 2005-05-12 Matrix Semiconductor, Inc. Nonvolatile memory cell comprising a reduced height vertical diode
JP2006511965A (ja) * 2002-12-19 2006-04-06 マトリックス セミコンダクター インコーポレイテッド 高密度不揮発性メモリを製作するための改良された方法
JP2008118022A (ja) * 2006-11-07 2008-05-22 Elpida Memory Inc 半導体記憶装置及び半導体記憶装置の製造方法
WO2008062734A1 (en) * 2006-11-20 2008-05-29 Panasonic Corporation Nonvolatile storage element, nonvolatile storage element array and its fabrication process
WO2008062688A1 (fr) * 2006-11-20 2008-05-29 Panasonic Corporation Dispositif de stockage semiconducteur non volatile et son procédé de fabrication

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4519872A (en) * 1984-06-11 1985-05-28 International Business Machines Corporation Use of depolymerizable polymers in the fabrication of lift-off structure for multilevel metal processes
JP2740050B2 (ja) * 1991-03-19 1998-04-15 株式会社東芝 溝埋込み配線形成方法
US5780908A (en) 1995-05-09 1998-07-14 Matsushita Electric Industrial Co., Ltd. Semiconductor apparatus with tungstein nitride
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
TW379374B (en) 1998-06-19 2000-01-11 Siemens Ag Method to improve thermal stability of tungsten silicide
JP3485807B2 (ja) 1998-09-04 2004-01-13 茂徳科技股▲ふん▼有限公司 トレンチキャパシタの製造方法
US6034882A (en) 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6426289B1 (en) * 2000-03-24 2002-07-30 Micron Technology, Inc. Method of fabricating a barrier layer associated with a conductor layer in damascene structures
US6420215B1 (en) 2000-04-28 2002-07-16 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
KR100350811B1 (ko) * 2000-08-19 2002-09-05 삼성전자 주식회사 반도체 장치의 금속 비아 콘택 및 그 형성방법
US6525953B1 (en) 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6952043B2 (en) 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
US20060249753A1 (en) 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
US7618850B2 (en) 2002-12-19 2009-11-17 Sandisk 3D Llc Method of making a diode read/write memory cell in a programmed state
US7238607B2 (en) 2002-12-19 2007-07-03 Sandisk 3D Llc Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US6905964B2 (en) 2003-01-09 2005-06-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating self-aligned metal barriers by atomic layer deposition on the copper layer
US7696625B2 (en) * 2004-11-30 2010-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7678420B2 (en) 2005-06-22 2010-03-16 Sandisk 3D Llc Method of depositing germanium films
US7834338B2 (en) * 2005-11-23 2010-11-16 Sandisk 3D Llc Memory cell comprising nickel-cobalt oxide switching element
US7892972B2 (en) * 2006-02-03 2011-02-22 Micron Technology, Inc. Methods for fabricating and filling conductive vias and conductive vias so formed
US7575984B2 (en) 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US7435648B2 (en) * 2006-07-26 2008-10-14 Macronix International Co., Ltd. Methods of trench and contact formation in memory cells

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5815250A (ja) * 1981-07-21 1983-01-28 Fujitsu Ltd 半導体装置の製造方法
JPS60142545A (ja) * 1983-12-27 1985-07-27 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 多層複合構造体
JPS61215286A (ja) * 1985-03-20 1986-09-25 Hitachi Ltd 半導体膜のパタ−ン形成方法
JPS61244026A (ja) * 1985-04-22 1986-10-30 Toshiba Corp 半導体装置の製造方法
JPH02144917A (ja) * 1988-11-28 1990-06-04 Hitachi Ltd リフトオフ法を利用した選拓的cvd法によるタングステン膜形成方法
JPH0360055A (ja) * 1989-07-25 1991-03-15 Smc Standard Microsyst Corp 集積回路の製造方法
JPH0397231A (ja) * 1989-09-09 1991-04-23 Tadahiro Omi 素子の作製方法並びに半導体素子およびその作製方法
JPH06215329A (ja) * 1992-11-18 1994-08-05 Mitsumi Electric Co Ltd 微細導電パターンの形成方法
JP2000323429A (ja) * 1999-05-12 2000-11-24 Sony Corp 半導体装置およびその製造方法
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20050098800A1 (en) * 2002-12-19 2005-05-12 Matrix Semiconductor, Inc. Nonvolatile memory cell comprising a reduced height vertical diode
JP2006511965A (ja) * 2002-12-19 2006-04-06 マトリックス セミコンダクター インコーポレイテッド 高密度不揮発性メモリを製作するための改良された方法
JP2008118022A (ja) * 2006-11-07 2008-05-22 Elpida Memory Inc 半導体記憶装置及び半導体記憶装置の製造方法
WO2008062734A1 (en) * 2006-11-20 2008-05-29 Panasonic Corporation Nonvolatile storage element, nonvolatile storage element array and its fabrication process
WO2008062688A1 (fr) * 2006-11-20 2008-05-29 Panasonic Corporation Dispositif de stockage semiconducteur non volatile et son procédé de fabrication

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064969B2 (en) 2013-09-10 2015-06-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of fabricating the same

Also Published As

Publication number Publication date
US7579232B1 (en) 2009-08-25
WO2010005866A1 (en) 2010-01-14
JP5227455B2 (ja) 2013-07-03
KR20110031493A (ko) 2011-03-28
EP2308089A1 (en) 2011-04-13
TW201010015A (en) 2010-03-01
CN102089880B (zh) 2013-08-07
CN102089880A (zh) 2011-06-08
KR101610422B1 (ko) 2016-04-07
TWI381490B (zh) 2013-01-01

Similar Documents

Publication Publication Date Title
JP5227455B2 (ja) 不揮発性メモリデバイスを製作する方法
US7745312B2 (en) Selective germanium deposition for pillar devices
US7422985B2 (en) Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
JP5139269B2 (ja) 半導体ダイオードを含む低温で製作された高密度不揮発性メモリアレイ
JP5395213B2 (ja) 側壁構造化スイッチャブル抵抗器セル
US7927977B2 (en) Method of making damascene diodes using sacrificial material
US8222091B2 (en) Damascene method of making a nonvolatile memory device
US8193074B2 (en) Integration of damascene type diodes and conductive wires for memory device
KR20120031015A (ko) 라운딩된 코너들을 구비한 복수의 필러들을 갖는 교차 지점 불휘발성 메모리 장치 및 제조 방법
US8148230B2 (en) Method of making damascene diodes using selective etching methods
JP2008536300A (ja) 導電性フィーチャへの接点を作る際の誘電体オーバーエッチングを低減するための方法
TWI449131B (zh) 柱狀裝置及其製造方法
US20100283053A1 (en) Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120524

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20120524

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20120723

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120731

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130315

R150 Certificate of patent or registration of utility model

Ref document number: 5227455

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160322

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees