JP5139269B2 - 半導体ダイオードを含む低温で製作された高密度不揮発性メモリアレイ - Google Patents

半導体ダイオードを含む低温で製作された高密度不揮発性メモリアレイ Download PDF

Info

Publication number
JP5139269B2
JP5139269B2 JP2008511205A JP2008511205A JP5139269B2 JP 5139269 B2 JP5139269 B2 JP 5139269B2 JP 2008511205 A JP2008511205 A JP 2008511205A JP 2008511205 A JP2008511205 A JP 2008511205A JP 5139269 B2 JP5139269 B2 JP 5139269B2
Authority
JP
Japan
Prior art keywords
germanium
memory
conductor
layer
diode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008511205A
Other languages
English (en)
Other versions
JP2008544481A (ja
Inventor
ハーナー,エス.ブラッド
ブイ. ダントン,サミュエル
Original Assignee
サンディスク スリーディー,エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サンディスク スリーディー,エルエルシー filed Critical サンディスク スリーディー,エルエルシー
Publication of JP2008544481A publication Critical patent/JP2008544481A/ja
Application granted granted Critical
Publication of JP5139269B2 publication Critical patent/JP5139269B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、ゲルマニウムまたはゲルマニウム合金ダイオードを含む非常に高密度の不揮発性メモリアレイに関する。
従来の半導体素子において、メモリセルは、メモリセルへの電気接続を提供する導電性配線と共に、単結晶シリコンウェハ基板中に製作される。一般に、これらの導体は、アレイが形成された後に形成でき、従って、メモリセル自体を形成するために必要な温度にさらされる必要がない。具体的には、頂部金属導体は、例えば、多結晶シリコン(この議論において、多結晶シリコンは、ポリシリコンと呼ばれる)の被着および結晶化の間に遭遇する通常約550℃を超える温度にさらされる必要がない。(ポリシリコンは、コントロールゲートおよびフローティングゲートのようなメモリ素子において用いられることがよくある。)従って、高い処理温度に耐性がないアルミニウムおよび銅のような金属は、従来の二次元半導体デバイス中の導体においてうまく使用できる。アルミニウムおよび銅は両方とも、導体における使用に望ましい非常に低抵抗率の材料である。
本発明の譲受人に譲渡され、本願明細書において参照により援用されているJohnsonらの米国特許第6,034,882号「Vertically stacked field programmable nonvolatile memory and method of fabrication」(特許文献1)に記載されているようなモノリシックな三次元メモリアレイにおいて、複数のメモリレベルが、単結晶シリコンウェハ基板上で交互に積み重ねられて形成される。
モノリシックな三次元メモリアレイにおいて、第1のメモリレベルの一部として形成された導体は、次のレベルおよび引き続き形成されるすべてのメモリレベル中のメモリセルのあらゆる素子を形成するために必要な処理温度に耐性がなければならない。メモリセルが従来の被着および結晶化技術を用いて結晶化されなければならない被着されたシリコンを含んでいれば、導体は、例えば、550℃を超える温度に耐性がなければならない。
アルミニウム配線は、約475℃以上の温度で軟化および押し出される傾向があり、銅は熱耐性がよりいっそう低い。従って、Johnsonらのアレイのようなアレイにおいて、より高い処理温度に耐えられる材料が、導体として使用するために好まれてきた。
Johnson らのメモリアレイのようなメモリアレイが、より小さい寸法に縮小されるにつれて、導体の断面積が収縮し、それらの抵抗を増大させる。従って、被着された半導体材料を含む高密度メモリデバイスを、低抵抗導体の使用を可能にする低い温度で製造するための、堅牢かつ低コストの方法が必要とされる。
米国特許第6,034,882号 米国特許出願第10/326,470号 米国特許出願第10/955,549号 米国特許出願第11/015,824号 米国特許出願第10/954,577号 米国特許出願第10/883,417号 米国特許出願第10/728,436号 米国特許出願第10/815,312号 米国特許出願第11/097,496号 米国特許第5,915,167号 Edelman らの "Initial Crystallization Stage of Amorphous Germanium Films," J. Appl. Phys., 5153 (1992) Nishiguchiらの "High quality SiO2 film formation by highly concentrated ozone gas at below 600C," Applied Physics Letters 81, pp. 2190-2192 (2002) Hsu らの "Growth and electrical characteristics of liquid-phase deposited SiO2 on Ge," Electrochemical and Solid State Letters 6, pp. F9-F11 (2003)
本発明は特許請求の範囲により定義されるものであり、このセクション中のいかなる内容も、特許請求の範囲に対する限定と取られるべきではない。一般に、本発明は、ゲルマニウムまたはゲルマニウム合金ダイオードおよび低抵抗率材料で形成された導体を有する、高密度アレイ中で製作され得る不揮発性メモリセルに関する。
本発明の第1の態様は、モノリシックな三次元メモリアレイを形成する方法を規定し、この方法は、基板上方に第1のメモリレベルを形成するステップであって、第1のメモリレベルが第1の複数のメモリセルを含み、各第1のメモリセルが半導体材料を含むステップと、第1のメモリレベル上方に第2のメモリレベルをモノリシック的に形成するステップと、を含み、モノリシックな三次元メモリアレイを形成する間、アレイ形成時の処理温度が約500℃を超えない。
本発明の別の態様は、モノリシックな三次元メモリアレイを規定し、このモノリシックな三次元メモリアレイは、a)第1のメモリレベルであって、i)第1のアルミニウム層または第1の銅層を含む第1の複数の底部導体と、ii)第1の底部導体上方の、ゲルマニウムまたはゲルマニウム合金を含む第1の複数の柱形ダイオードと、iii)第1のダイオード上方の、第2のアルミニウム層または第2の銅層を含む第1の複数の頂部導体と、を含む、第1のメモリレベルと、b)第1のメモリレベル上方でモノリシック的に形成された第2のメモリレベルと、を含む。
本発明のさらに別の態様は、第1のメモリレベルを形成する方法を規定し、この方法は、銅またはアルミニウムを含む、第1の方向に延びる第1の複数の実質的に平行で、実質的に共平面のレール形底部導体を形成するステップと、第1の底部導体上方に、ゲルマニウムまたはゲルマニウム合金を含む第1の複数のダイオードを形成するステップと、銅またはアルミニウムを含む、第1の方向と異なる第2の方向に延びる第1の複数の実質的に平行で、実質的に共平面のレール形頂部導体を第1のダイオード上方に形成するステップと、を含み、第1のメモリレベルを形成する間、処理温度が500℃を超えない。
本発明の別の態様は、一度にプログラム可能な不揮発性メモリセルを規定し、このメモリセルは、底部導体と、底部導体上方の多結晶ダイオードと、ダイオード上方の頂部導体と、を含み、セルがプログラムされた後、頂部導体と底部導体との間に約1ボルトが印加された場合、ダイオードを通って流れる電流は少なくとも約100μAである。
本発明のさらに別の態様は、不揮発性メモリセルを規定し、この不揮発性メモリセルは、アルミニウムまたは銅を含む底部導体と、少なくとも20原子%ゲルマニウムである半導体材料を含む柱と、アルミニウムまたは銅を含む頂部導体と、を含み、柱は、頂部導体と底部導体との間に配置され、半導体材料は、高抵抗状態で形成され、プログラミング電圧の印加時に、低抵抗状態のダイオードに変わる。
本発明の好ましい実施形態は、モノリシックな三次元メモリアレイを規定し、このモノリシックな三次元メモリアレイは、a)基板上方に形成された、複数のメモリセルを含む第1のメモリレベルであって、各メモリセルが、i)アルミニウム合金を含む底部導体と、ii)少なくとも20原子%ゲルマニウムである半導体材料を含む柱と、iii)アルミニウム合金を含む頂部導体と、を含み、柱は、頂部導体と底部導体との間に配置され、半導体材料は、高抵抗状態で形成され、プログラミング電圧の印加時に、低抵抗状態のダイオードに変わる、第1のメモリレベルと、b)第1のメモリレベル上方でモノリシック的に形成された第2のメモリレベルと、を含む。
本発明の別の好ましい実施形態は、モノリシックな三次元メモリアレイを規定し、このモノリシックな三次元メモリアレイは、a)基板上方に形成された第1のメモリレベルであって、i)ダマシン法により形成された、銅を含む底部導体と、ii)少なくとも20原子%ゲルマニウムである半導体材料を含む柱と、iii)ダマシン法により形成された、銅を含む頂部導体と、を含み、柱は、頂部導体と底部導体との間に配置され、半導体材料は、高抵抗状態で形成され、プログラミング電圧の印加時に、低抵抗状態のダイオードに変わる、第1のメモリレベルと、b)第1のメモリレベル上方でモノリシック的に形成された第2のメモリレベルと、を含む。
本発明の好ましい態様は、モノリシックな三次元メモリアレイを形成する方法を規定し、この方法は、a)基板上方に第1のメモリレベルを、i)銅またはアルミニウム合金を含む、第1の複数の実質的に平行で、実質的に共平面の底部導体を形成するステップと、ii)第1の底部導体上方に、ゲルマニウムまたはゲルマニウム合金を含む第1の複数のダイオードを形成するステップと、iii)銅またはアルミニウム合金を含む、第1の複数の実質的に平行で、実質的に共平面の頂部導体を第1のダイオード上方に形成するステップと、を含む方法により形成するステップと、b)第1のメモリレベル上方で第2のメモリレベルをモノリシック的に形成するステップと、を含む。
本願明細書中で説明される本発明の態様および実施形態の各々は、単独または互いに組み合わせて用いられ得る。
ここで、好ましい態様および実施形態を、添付図面に関連して説明する。
図1は、後に放棄され、本願明細書において参照により援用されているHernerらの米国特許出願第10/326,470号(以下‘470出願)(特許文献2)において教示されるメモリセルを示す。‘470出願は、好ましくは単結晶シリコンの基板上方に形成されたそのようなセルを含むモノリシックな三次元メモリアレイの製作および使用を説明している。関連するメモリアレイ、ならびにそれらの使用および製造方法は、2004年9月29日出願のHernerらの米国特許出願第10/955,549号「Nonvolatile Memory Cell Without a Dielectric Antifuse Having High- and Low-Impedance States 」(以下‘549出願)(特許文献3)、2004年12月17日出願のHernerらの米国特許出願第11/015,824号「Nonvolatile Memory Cell Comprising a Reduced Height Vertical Diode」(以下‘824出願)(特許文献4)、および2004年9月29日出願のHernerらの米国特許出願第10/954,577号「Junction Diode Comprising Varying Semiconductor Compositions」(以下‘577出願)(特許文献5)において教示され、これらはすべて本願の譲受人により所有され、本願明細書において参照により援用されている。
図1を参照すると、‘470出願の好ましい実施形態において、ポリシリコンダイオード30が、底部導体20と頂部導体40との間に配置され、誘電破壊アンチヒューズ18、一般には薄い酸化物層、により頂部導体40から分離されている。セルは、当初の高抵抗状態で形成され、読み出し電圧が底部導体20と頂部導体40との間に印加される場合、電流はそれらの間をほとんどまたは全く流れない。しかし、プログラミング電圧の印加と同時に、セルは低抵抗状態に永続的に変換される。この低抵抗状態において、読み出し電圧が底部導体20と頂部導体40との間に印加される場合、確実に検出可能な電流が流れる。当初の高抵抗状態が、例えば、データ「0」に対応し得る一方で、プログラムされた低抵抗状態はデータ「1」に対応する。
高抵抗状態から低抵抗状態への変化は、少なくとも2つの変化に起因する。誘電破壊アンチヒューズ18は、誘電破壊を受け、不可逆的に破壊し、アンチヒューズ18を通って形成された破壊パスを通して導電性になる。加えて、‘549出願においてより完全に記載されるように、ダイオード自体の半導体材料が、高抵抗状態から低抵抗状態へ変換される。ダイオード30は、プログラミング前には多結晶である。プログラミング電圧が印加された後、ポリシリコンダイオード30は、プログラミング電圧の印加前よりも導電性である。
‘470出願、‘549出願、‘824出願、および‘577出願の好ましい実施形態において、底部導体20および頂部導体40は、窒化チタン接着層2および22ならびにタングステン層4および24を含む。窒化チタン障壁層9は、ダイオード30のポリシリコンをタングステン層4から分離する。複数のそのような頂部および底部導体は、介在ダイオードおよびアンチヒューズと共に、第1のメモリレベルを形成するクロスポイント形アレイで製作することができ、図2にその代表的な部分が示してある。
図1のメモリセルは、広範囲の寸法について非常に効果的である。しかし、デザインがより小さい寸法に縮小されるにつれ、底部導体20および頂部導体40の断面積が減少し、導体の抵抗が増大する。高縦横比フィーチャ (feature)は確実にパターン形成およびエッチングすることが困難であり、高縦横比ギャップは誘電体で満たすことが困難なので、減少する幅を厚さの増大によって補償することは急速に非現実的になる。非常に小さいフィーチャサイズでは、タングステン導体は、うまくいくデバイス性能にとって抵抗が高すぎる。
頂部および底部導体を形成するために、低抵抗率材料を用いるのが望ましい。しかし、前に指摘したように、ポリシリコンダイオード30の結晶化は、アルミニウムまたは銅の使用と両立しない温度で一般的に実行される。
何十年も前、ゲルマニウムに代わりシリコンが、半導体集積回路において用いられる標準半導体材料になった。これは主に、シリコンは、酸化されると、二酸化ケイ素を形成するという事実によるものであり、二酸化ケイ素は、他の多くの用途の中でも、レベル間誘電体、フィールド酸化膜、ギャップ充填材料、およびゲート誘電体として、誘電体が必要とされる時にはいつも広く用いられる高品質誘電性材料である。単結晶ゲルマニウムデバイスの商業化は比較的少なく、依然として多結晶ゲルマニウムを用いるデバイスはより少ない。
本発明において、多結晶ダイオードは、ゲルマニウムまたはゲルマニウムを多く含む合金で形成される。約350℃という低い温度でのゲルマニウムの結晶化は、Edelman らの “Initial Crystallization Stage of Amorphous Germanium Films," J. Appl. Phys., 5153 (1992)(非特許文献1)に記載されている。約475℃以下での結晶化によりアルミニウム導体の使用が可能になる一方で、より低い温度では銅導体の使用が可能になる。これらの低抵抗率金属は低抵抗導体を形成し、これは、低減された断面で形成され得る。幅および縦横比の低減は、メモリアレイにおけるより高い密度を見越している。
図3は、本発明に従って形成されたメモリセルを示す。この実施形態において、底部導体20および頂部導体40は、アルミニウム層15および25をそれぞれ含み、別の実施形態において、導体は銅を含む。ダイオード32は、ゲルマニウムまたはゲルマニウム合金で形成されたp−i−nダイオードである。ゲルマニウム合金は、好ましくは少なくとも20原子%ゲルマニウムであり、好ましくは少なくとも50原子%ゲルマニウムであり、好ましい実施形態において、少なくとも80または少なくとも90原子%原子ゲルマニウムである。誘電破壊アンチヒューズ18は、導体間にダイオード32と直列に配置される。誘電破壊アンチヒューズ18は、酸化物、窒化物、または酸窒化物のような任意の適切な誘電性材料で形成され得る。
シリコンではなく、ゲルマニウムまたはゲルマニウムを多く含む合金の使用により、ダイオードの結晶化温度を、大量生産のために依然として実用的であるアニール時の約350℃という低い温度まで低減することが可能になる。
各々本発明に従って形成された異なるモノリシックな三次元メモリアレイの2つの詳細な例が提示される。第1の実施形態がアルミニウム導体の使用を説明するのに対して、第2の実施形態は、銅導体の使用を説明する。明確にするため、ステップ、材料、およびプロセス条件を含む多くの詳細が含まれる。この例が限定的ではないこと、および結果が本発明の範囲に入る一方でこれらの詳細が修正、省略、または増大され得ることが理解できよう。具体的には、‘470出願、‘549出願、‘824出願、‘577出願ならびに他の援用されている特許出願および特許の教示が、本発明によるメモリの形成に関連し得る。単純にするため、援用されている特許出願および特許の詳細のすべてが含まれるのではないが、これらの特許出願または特許のどの教示も除外されることを意図しないことが理解できよう。
例:アルミニウム導体
図4aに目を向けると、メモリの形成は、基板100から始まる。この基板100は、単結晶シリコン、シリコン−ゲルマニウムまたはシリコン−ゲルマニウム−炭素などのIV−IV化合物、III−V化合物、II−VII化合物、そのような基板上のエピタキシャル層、あるいは何か他の半導体材料のような、技術的に知られているどのような半導体基板であってもよい。基板は、その中で製作された集積回路を含み得る。
絶縁層102が基板100上に形成される。絶縁層102は、酸化ケイ素、窒化ケイ素、高誘電体膜、Si−C−O−H膜、または何か他の適切な絶縁材料でもあり得る。
第1の導体200は、基板100および絶縁体102の上に形成される。導電層106が付着するのを助けるために、絶縁層102と導電層106との間に接着層104が含まれ得る。接着層104としての好ましい材料は窒化チタンであるが、他の材料が用いられてもよく、またはこの層は省略され得る。接着層104は、任意の従来方法、例えばスパッタリングにより被着させられ得る。
接着層104の厚さは、約20〜約500オングストロームに及ぶことがあり、好ましくは、約100〜約400オングストローム、最も好ましくは約200オングストロームである。この議論において、「厚さ」は、基板100に直角の方向で測定された垂直な厚さを示すことに留意されたい。
被着される次の層は、導電層106である。それほど好ましくない実施形態において、ドープされた半導体、タングステンのような金属、または金属ケイ化物のような任意の技術的に知られている導電材料が用いられ得るが、この実施形態においては、導電層106は、アルミニウムまたはアルミニウム合金である。導電層106の厚さは、所望のシート抵抗にある程度依存し、従って、所望のシート抵抗を提供する任意の厚さとすることができる。1つの実施形態において、導電層106の厚さは、約500〜約3000オングストローム、好ましくは約1000〜約2000オングストローム、最も好ましくは約1200オングストロームであり得る。
好ましくは窒化チタンのもう1つの層110が、導電層106上に被着される。この層は、接着層104とほぼ同じ厚さであり得る。反射防止被覆を用いることができる。窒化チタン層110は、これから形成されるダイオードのアルミニウム層106とゲルマニウムまたはゲルマニウムを多く含む合金との間の障壁層として働く。
導体レールを形成するすべての層がひとたび被着されると、それらの層は、図4aに断面で示される実質的に平行で、実質的に共平面の導体200を形成するために、任意の適切なマスキングおよびエッチングプロセスを用いてパターン形成およびエッチングされる。1つの実施形態において、フォトレジストが被着され、フォトリソグラフィによりパターン形成され、層がエッチングされ、次に酸素含有プラズマ中での「アッシング (ashing) 」のような標準的処理技法を用いてフォトレジスト層が除去され、エッチングの間に形成された残りのポリマーのストリップが、EKCにより処方された液体溶媒のような従来の液体溶媒中で除去される。
繰り返しパターン中で、ピッチは、1つのフィーチャから、同じフィーチャが再現するまでの距離である。導体200のような複数の実質的に平行なラインにおいて、例えば、導体200のピッチは、1つのラインの中心から次のラインの中心までの距離である。導体200は、任意の所望のピッチで形成できるが、導体200のピッチは、好ましくはせいぜい180nm、より好ましくはせいぜい約150nm、よりいっそう好ましくはせいぜい約120nm、最も好ましくはせいぜい約90nmである。導体200のピッチは、90nm未満であり得る。
次に、誘電材料108が導体レール200上およびその間に被着される。誘電性材料108は、酸化ケイ素、窒化ケイ素、または酸窒化ケイ素のような任意の既知の電気絶縁材料であり得る。好ましい実施形態において、二酸化ケイ素が誘電材料108として用いられる。酸化ケイ素は、化学気相蒸着(CVD)、または、例えば、高密度プラズマCVD(HDPCVD)のような任意の既知のプロセスを用いて被着させ得る。
最後に、導体レール200上の誘電材料108が除去され、誘電材料108により分離された導体レール200の頂部が露出され、実質的に平坦な表面109が残される。結果として生じる構造が図4aに示してある。平坦な表面109を形成する誘電体過剰分のこの除去は、エッチバックまたは化学機械的平坦化(CMP)のような技術的に知られた任意のプロセスによって実行できる。例えば、2004年6月30日に出願され、その全体が本願明細書において参照により援用されているRaghuramらの米国特許出願第10/883,417号「Nonselective Unpatterned Etchback to Expose Buried Patterned Features 」(特許文献6)において記載されたエッチバック技法を有利に用いることができる。
この平坦化ステップがCMPにより実行されれば、窒化チタン層110の厚さのうちのいくらか、例えば、約600オングストロームが失われる。この場合、CMP後に好ましくは少なくとも約200オングストロームの窒化チタンが残るように、窒化チタンの余分の犠牲厚さが設けられるべきである。
要約すると、底部導体は、アルミニウム層またはアルミニウム層を含む導電性スタックを被着させるステップと、第1の底部導体を形成するために、アルミニウム層または導電性スタックをパターン形成およびエッチングするステップと、第1の底部導体の上およびその間に第1の誘電性材料を被着させるステップと、第1の底部導体および第1の誘電性材料を同時露出する実質的に平坦な表面を形成するために平坦化するステップと、を含む方法によって形成される。
次に、図4bを見ると、垂直柱が、完成された導体レール200上方に形成される。(スペースを節約するため、基板100は、図4bおよび以降の図において省略されているが、その存在は、この図および以降の図において想定されている。)柱にパターン形成される半導体材料が被着される。この半導体材料は、シリコン、シリコン−ゲルマニウム、シリコン−ゲルマニウム−炭素、ゲルマニウム、他の適切なIV−IV化合物、ヒ化ガリウム、リン化インジウム、あるいは他の適切なIII−V化合物、セレン化亜鉛、または他のII−VII化合物、もしくは組み合わせであり得る。好ましい実施形態において、任意の割合のゲルマニウム、例えば、少なくとも20、少なくとも50、少なくとも80、または少なくとも90原子%ゲルマニウムあるいは純ゲルマニウムを含む、ゲルマニウム合金を用いることができる。現在の例は、純ゲルマニウムの使用を説明している。用語「純ゲルマニウム」は、導電率向上ドーパントまたは典型的な製造環境において通常見られる汚染物質の存在を排除するものではない。
好ましい実施形態において、半導体柱は接合ダイオードを含む。接合ダイオードという用語は、2つの端子電極を有し、一方の電極ではp形であり他方の電極ではn形である半導体材料で作られた、非オーム伝導の特性を備える半導体デバイスを指すために本願明細書中で用いられる。例として、ツェナーダイオードのような、p形半導体材料とn形半導体材料とが接触しているp−nダイオードおよびn−pダイオード、ならびに真性(ドープされていない)半導体材料がp形半導体材料とn形半導体材料との間に置かれているp−i−nダイオードが含まれる。
ほとんどの好ましい実施形態において、接合ダイオードは、第1の伝導率タイプの底部高濃度ドープ領域、および第1のものと反対側に第2の伝導率タイプの頂部高濃度ドープ領域を有する。頂部領域と底部領域との間の中間領域は、真性あるいは第1または第2の伝導率タイプのいずれか一方の低濃度ドープ領域である。そのようなダイオードは、p−i−nダイオードと呼ぶことができる。
この例では、底部高濃度ドープ領域112は、高濃度ドープn形ゲルマニウムである。最も好ましい実施形態において、高濃度ドープ領域112が被着され、任意の従来方法により、好ましくは、その場で (in situ)ドープされることによりリンのようなn形ドーパントを用いてドープされるが、ドーピングは、代わりにイオン注入によることもできる。この層は、好ましくは、約200〜約800オングストロームの厚さである。
次に、ダイオードの残りを形成するゲルマニウムが被着される。いくつかの実施形態において、その後の平坦化ステップが多少のゲルマニウムを除去し、従って、余分の厚さが被着される。平坦化ステップが、従来のCMP法を用いて実行されれば、約800オングストロームの厚さが失われる(これは平均であり、量はウェハ全体にわたって変わる。CMPの間に用いられるスラリーおよび方法に応じて、ゲルマニウム損失は増減し得る。)平坦化ステップがエッチバック法により実行されれば、約400オングストローム以下のゲルマニウムしか除去されないことがある。用いられる平坦化方法および所望の最終厚さに応じて、約800〜約4000オングストローム、好ましくは約1500〜約2500オングストローム、最も好ましくは約1800〜約2200オングストロームのドープされていないゲルマニウム114が任意の従来方法により被着される。所望されれば、ゲルマニウム層114は低濃度ドープされ得る。頂部高濃度ドープ領域116は、後の注入ステップにおいて形成されるが、この時点ではまだ存在しておらず、従って、図4bには示してない。
被着されたばかりのゲルマニウムは、柱300を形成するためにパターン形成およびエッチングされる。各柱300が導体200の上に形成されるように、柱300は、下方の導体200とほぼ同じピッチおよびほぼ同じ幅を有するべきである。多少の位置ずれは許容され得る。
柱300は、任意の適切なマスキングおよびエッチングプロセスを用いて形成できる。例えば、フォトレジストを被着し、標準のフォトリソグラフィ技法を用いてパターン形成し、エッチングし、次にフォトレジストを除去することができる。代わりに、何か他の材料、例えば二酸化ケイ素のハードマスクを、半導体層スタック上で、底部反射防止覆(BARC)をその上に載せて形成し、次にパターン形成およびエッチングすることができる。同様に、誘電性反射防止被覆(DARC)を、ハードマスクとして用いることができる。
両方とも本発明の譲受人により所有され、本願明細書において参照により援用されている2003年12月5日出願のChenの米国特許出願第10/728,436号「Photomask Features with Interior Nonprinting Window Using Alternating Phase Shifting」(特許文献7)、または2004年4月1日出願のChenの米国特許出願第10/815,312号「Photomask Features with Chromeless Nonprinting Phase Shifting Window」(特許文献8)に記載されているフォトリソグラフィ技法は、本発明によるメモリアレイの形成において用いられるどのフォトリソグラフィを実行するためにも有利に用い得る。
要約すると、柱300は、実質的に平坦な表面上方にゲルマニウムまたはゲルマニウム合金積層を被着するステップと、第1の柱を形成するために積層をパターン形成およびエッチングするステップと、を含む方法により形成された。
誘電性材料108は、柱300の上およびそれらの間に被着されてそれらの間のギャップを満たす。誘電性材料108は、酸化ケイ素、窒化ケイ素、または酸窒化ケイ素のような任意の既知の電気絶縁材料であり得る。好ましい実施形態において、二酸化ケイ素が絶縁材料として用いられる。二酸化ケイ素は、CVDまたはHDPCVDのような任意の既知のプロセスを用いて被着され得る。
次に、柱300上の誘電性材料が除去されて、誘電性材料108により分離された柱300の頂部が露出され、実質的に平坦な表面が残される。誘電体過剰分のこの除去および平坦化は、CMPまたはエッチバックのような技術的に知られた任意のプロセスによって実行できる。例えば、Raghuramらの米国特許に記載されたエッチバック技法を用いることができる。結果として生じる構造が、図4bに示してある。
図4cに目を向けると、好ましい実施形態において、高濃度ドープ頂部領域116が、p形ドーパント、例えばホウ素またはBF2 を用いたイオン注入によりこの時点で形成される。本願明細書中で記載されたダイオードは、底部n形領域および頂部p形領域を有する。好まれるのであれば、導電性タイプは逆にすることもできる。所望されれば、底部上にn形領域を有するp−i−nダイオードが1つのメモリレベルにおいて用い、底部上にp形領域を有するp−i−nダイオードがもう1つのメモリレベルにおいて用いられ得る。
柱300中にあるダイオードは、第1の導体および誘電性充填材の上方に半導体層スタックを被着させるステップと、第1のダイオードを形成するために、半導体層スタックをパターン形成およびエッチングするステップと、を含む方法により形成された。
誘電破壊アンチヒューズ118が含まれることになるのであれば、その誘電破壊アンチヒューズは、適切な誘電性材料の任意の低温被着により形成され得る。例えば、Al23の層は、約150℃で被着され得る。代わりに、アンチヒューズは、やはり低温プロセスによる、液相被着二酸化ケイ素であってもよい。適切な方法は、Nishiguchiらの “High quality SiO2 film formation by highly concentrated ozone gas at below 600C," Applied Physics Letters 81, pp. 2190-2192 (2002)(非特許文献2)、およびHsu らの “Growth and electrical characteristics of liquid-phase deposited SiO2 on Ge," Electrochemical and Solid State Letters 6, pp. F9-F11 (2003) (非特許文献3)において記載されている。他の選択肢として、低温法により形成された窒化物または酸窒化物が含まれる。誘電破壊アンチヒューズ118は、好ましくは約20〜約80オングストロームの厚さであり、好ましくは約50オングストロームの厚さである。いくつかの実施形態において、誘電破壊アンチヒューズ118は省略され得る。
次に、頂部導体400を形成するために導電性材料またはスタックが被着される。好ましい実施形態において、窒化チタン障壁層120が次に被着され、その後アルミニウム層122および頂部窒化チタン障壁層124が被着される。頂部導体400は、前に説明されたようにパターン形成およびエッチングされ得る。上に覆い被さる第2の導体400は、好ましくは、第1の導体200と異なる方向、好ましくはそれらに実質的に直角な方向に延びる。図4cに示される、結果として生じる構造は、メモリセルの底部または第1の階層である。理想的には、各頂部導体400は、柱300の列と直接的に整合されて形成される。多少の位置ずれは許容され得る。各メモリレベルは、底部導体200、柱300、および頂部導体400を含む。底部導体200は、実質的に平行でありかつ第1の方向に延び、頂部導体400は、実質的に平行でありかつ第1の方向と異なる第2の方向に延びる。
このメモリレベルにおいて、各メモリセルについて、底部導体、柱、および頂部導体は各々、別個のパターン形成ステップにおいてパターン形成されることに留意されたい。
付加的なメモリレベルがこの第1のメモリレベル上方に形成され得る。いくつかの実施形態において、導体はメモリレベル間で共有することができ、すなわち、頂部導体400は、次のメモリレベルの底部導体として働く。他の実施形態において、レベル間誘電体(図示せず)が、図4cの第1のメモリレベルの上方に形成され、その表面が平坦化され、第2のメモリレベルの構築は、共有される導体なしで、この平坦化されたレベル間誘電体上から始まる。
被着されたゲルマニウムは、ドープされていないまたはn形ドーパントでドープされ、比較的低い温度で被着された場合、説明されたように、一般に非晶質になる。メモリレベルのすべてが構築された後、ゲルマニウムダイオードを結晶化させるために、例えば、約350〜約450℃で実行される、最終的な比較的低温のアニールを実行することができ、この実施形態において、結果として生じるダイオードは、ポリゲルマニウムで形成される。大ロットのウェハ、例えば25枚以上のウェハを、十分なスループットを維持しつつ、一度にアニールすることができる。
メモリレベル間および基板中の回路系間の垂直相互接続は、好ましくはタングステンプラグとして形成され、これは任意の従来方法により形成され得る。
フォトマスクは、各層をパターン形成するために、フォトリソグラフィの間に用いられる。ある特定の層は、各メモリレベル中で繰り返され、それらを形成するために用いられるフォトマスクは再利用されることがある。例えば、図4cの柱300を画定するフォトマスクは、各メモリレベルついて再利用できる。各フォトマスクは、各フォトマスクを適切に位置合わせするために用いられる基準マークを含んでいる。フォトマスクが再利用される場合、第2またはその後の使用において形成された基準マークは、同じフォトマスクの以前の使用時に形成された同じ基準マークに干渉することがある。本願明細書において参照により援用されている2005年3月31日出願のChenらの米国特許出願第11/097,496号「Masking of Repeated Overlay and Alignment Marks to Allow Reuse of Photomasks in a Vertical Structure」(特許文献9)は、本発明のものと同様のモノリシックな三次元メモリアレイの形成時のそのような干渉を回避する方法を記載している。
例:銅導体
図5aに目を向けると、この実施形態において、製作はこれまでのように、基板100および絶縁層102の上で開始し、前の実施形態において説明された通りになり得る。
好ましい実施形態において、例えば窒化ケイ素の薄い層201が、絶縁層102上に被着される。この層は、来たるダマシンエッチングの間、エッチングストップとして働くことになる。
次に、誘電体、例えばTEOSの厚い層202が被着される。その厚さは、約1,000〜約6,000オングストローム、好ましくは約4,000オングストロームであり得る。実質的に平行な溝204をエッチングするために、従来のダマシンエッチングが実行される。エッチングは、窒化ケイ素層201上で停止する。例えば、窒化タンタル、タンタル、タングステン、窒化タングステン、窒化チタン、または任意の他の適切な材料の障壁層206が被着されて、誘電体層202を共形的に覆い、溝204をライニングする。
図5bに示されるように、次に銅層208が障壁層206上に被着されて、溝204を満たす。所望されれば、銅の合金を用い得るが、銅層208は、好ましくは純銅である。例えばCMPによる平坦化ステップは、銅208の過剰分を除去し、実質的に平坦な表面において、障壁材料206だけでなく、銅208および誘電体202も同時露出させる。底部導体200が形成されている。底部導体200のピッチは、前の実施形態において説明された通りであり得る。
要約すると、底部導体200は、第1の誘電性材料を被着させ、誘電性材料中に実質的に平行な複数の溝をエッチングし、第1の誘電性材料上に銅を被着させて溝を満たし、過剰分の銅を除去し第1の底部導体および第1の誘電性材料を同時露出させる実質的に平坦な表面を形成するために平坦化することにより、形成された。
図5cに目を向けると、導電性の障壁層210が平坦な表面上に被着されている。何か他の適切な材料を代わりに用いることもできるが、この障壁層は、好ましくは窒化タンタルまたはタンタルである。
次に、ダイオードを形成するためにエッチングされるゲルマニウムまたはゲルマニウム合金積層が、前の実施形態におけるように被着され、高濃度ドープn形ゲルマニウム層112およびドープされていないゲルマニウム層114が含まれる。ゲルマニウムまたは前述したゲルマニウム合金のいずれかを用いることができる。前の実施形態におけるように、高濃度ドープp形ゲルマニウム層116は、後の注入ステップによりドープされるものであり、従ってまだ形成されていないので、図5cには示していない。
被着されたばかりのゲルマニウムは、柱300を形成するためにパターン形成およびエッチングされる。窒化タンタル障壁層208が同様にエッチングされ、銅層208を柱間で露出されたままにする。各柱300が導体200の上に形成されるように、柱300は、下方の導体200とほぼ同じピッチおよびほぼ同じ幅を有するべきである。多少の位置ずれは許容され得る。
一般に、銅は、他の材料中への拡散を回避するためにカプセル化されなければならない。適切な誘電性障壁材料、例えば、炭化ケイ素、窒化ケイ素、Si−C−O−H膜、または何か他の高K誘電体の薄い層212が次に被着されて、誘電体202を覆い、導体200中の銅208をカプセル化するべきである。炭化ケイ素障壁誘電体212も柱300の頂部を覆い、材料のステップカバレッジに応じて、柱300の側壁も同様に覆い得る。酸化物108または他の適切なギャップ充填材料が、例えばHDPCVDによって被着され、柱300間のギャップを満たす。誘電体層108は、柱300の頂部を過ぎて満ちる。
次に、柱300上の誘電性材料が除去され、誘電性材料108により分離された柱300上の炭化ケイ素障壁誘電体212の頂部が露出され、実質的に平坦な表面が残される。誘電体過剰分のこの除去および平坦化は、CMPまたはエッチバックのような技術的に知られた任意のプロセスによって実行できる。例えば、Raghuramらの米国特許に記載されたエッチバック技法を用いることができる。次に、窒化ケイ素エッチングストップ層213が、平坦な表面上に被着される。結果として生じる構造が、図5cに示してある。
図5dの視野は、線A−A’に沿った、図5cの視野に垂直である。図5dを参照すると、誘電性材料214が、窒化ケイ素エッチングストップ層213上に被着され、その厚さは、好ましくは、底部導体200が形成された誘電体202の厚さに匹敵する。次に、誘電体214中に溝がエッチングされる。このエッチングは、窒化ケイ素エッチングストップ層214で停止する。低速エッチングにより、第1の窒化ケイ素層214、次に炭化ケイ素層212が除去されて、柱300の頂部が露出される。ホウ素またはBF2のようなp形ドーパントのイオン注入は、好ましくはこの時点で実行され、高濃度ドープp形領域116が形成される。
次に、誘電破壊アンチヒューズ218が、好ましくはAl23 の原子層堆積法によって形成され、溝を共形的に満たす。前の実施形態において説明されたように、誘電破壊アンチヒューズ218を形成する代替的方法を代わりに用い得る。誘電破壊層218は、好ましくは約15〜約80オングストロームの厚さであり、好ましくは約50オングストロームの厚さである。いくつかの実施形態において、誘電破壊アンチヒューズ218を省略することができる。
頂部導体400は、底部導体200と同じ方法で形成される。好ましくは窒化タンタルの障壁層220は、溝をライニングし、銅層222が溝を満たす。例えばCMPによる平坦化ステップにより、銅の過剰分が除去され、頂部導体400を形成し、実質的に平坦な表面を作り出す。レベル間誘電体がこのメモリレベルと次のメモリレベルとの間に形成されるのであれば、例えば炭化ケイ素の誘電性障壁層224を、銅層222をカプセル化するために、この実質的に平坦な表面上に被着させることができる。
代わりに、次のメモリレベルが頂部導体400を共有するのであれば、すなわち、頂部導体400が次のメモリレベルの底部導体として働くことになっているのであれば、窒化タンタルのような導電性窒化物障壁層を代わりに、実質的に平坦な表面(図示せず)上に被着させることができる。柱の次のセットを形成するためのゲルマニウムスタックが次に被着されて、製作は、柱300について説明されたように続き、導電性障壁層が柱と共にエッチングされ、柱および銅の上に共形的な高K障壁誘電体が被着される等々である。
メモリレベル間および基板中の回路系間の垂直相互接続は、好ましくは従来のデュアルダマシンプロセスにおいて銅で形成される。
説明された2つの実施形態の各々、および本願明細書中の他の教示は、モノリシックな三次元メモリアレイを形成する方法を教示し、この方法は、基板上方に第1のメモリレベルを形成するステップであって、第1のメモリレベルが第1の複数のメモリセルを含み、各第1のメモリセルが半導体材料を含むステップと、第1のメモリレベル上方に第2のメモリレベルをモノリシック的に形成するステップと、を含み、モノリシックな三次元メモリアレイを形成する間、アレイ形成時の処理温度が約500℃を超えない。結晶化温度および選択されたアニール時間に応じ、そのようなアレイの形成時の処理温度は、約475、450、425、400、および375、または約350℃を超えない。
より具体的には、説明されたものは、第1のメモリレベルを形成する方法であり、この方法は、銅またはアルミニウムを含む、第1の方向に延びる第1の複数の実質的に平行で、実質的に共平面のレール形底部導体を形成するステップと、第1の底部導体上方に、ゲルマニウムまたはゲルマニウム合金を含む第1の複数のダイオードを形成するステップと、銅またはアルミニウムを含む、第1の方向と異なる第2の方向に延びる第1の複数の実質的に平行で、実質的に共平面のレール形頂部導体を第1のダイオード上方に形成するステップと、を含み、第1のメモリレベルを形成する間、処理温度が500℃あるいは言及された他のより低い温度のいずれも超えない。
シリコンダイオードまたは何か他の多結晶ダイオードと比較された場合、本発明において使用するために説明されてきた多結晶ゲルマニウムまたはゲルマニウムを多く含む合金で形成された垂直配向p−i−nダイオードにより、印加された読み出し電圧について比較的より高い電流の流れが可能になることが期待される。例えば、約1ボルトの読み出し電圧が、本発明に従って形成されたメモリセルの頂部導体と底部導体との間に印加される場合、(アンチヒューズが破壊され、低抵抗導電性パスがダイオードを通して形成されている)プログラムされたセルにおいて、約100μAより大きい電流が流れることが期待される。例えば、約1ボルトの読み出し電圧が印加される場合、電流の流れは約100μA〜1mAの間になり得る。
モノリシックな三次元メモリアレイは、ウェハのような単一の基板上方に複数のメモリレベルが介在基板なしで形成されるものである。1つのメモリレベルを形成する層は、既存の1つのレベルまたは複数のレベルの層の上で直接的に被着または成長させられる。対照的に、スタックメモリは、Leedy の米国特許第5,915,167号「Three dimensional structure memory」(特許文献10)におけるように、別個の基板上でメモリレベルを形成し、それらのメモリレベルを互いに上に載せて接着することにより組み立てられる。基板は、接合前に薄化されたり、メモリレベルから除去されたりすることがあるが、メモリレベルは当初、別個の基板上で形成されるので、そのようなメモリは真のモノリシックな三次元メモリアレイではない。
基板上方で形成されたモノリシックな三次元メモリアレイは少なくとも、基板上方の第1の高さに形成された第1のメモリレベルおよび第1の高さと異なる第2の高さに形成された第2のメモリレベルを含む。3つ、4つ、8つ、または実際はいくつでもよいメモリレベルを、そのような多層アレイにおいて基板上方で形成することができる。
本発明の一度にプログラム可能な不揮発性メモリセルは、モノリシックな三次元メモリアレイの文脈において説明されてきたが、低い製作温度を必要とする何か他の文脈において、例えばある特定の低温基板を用いる場合に有利になる。
製作の詳細な方法が本願明細書中で説明されてきたが、結果が本発明の範囲内にある限り、同じ基板を形成するどのような他の方法も用いることができる。
常住の詳細な説明は、本発明が取り得る多くの形態のいくつかを説明したにすぎない。この理由により、この詳細な説明は、例示の目的を意図するものであって、限定の目的を意図するものではない。本発明の範囲を規定するものは、すべての同等物を含む以下の特許請求の範囲のみである。
‘470出願に従って形成されたメモリセルの斜視図である。 図1のセルと同様のセルを含むメモリレベルの斜視図である。 本発明の実施形態に従って形成された一度にプログラム可能な不揮発性メモリセルの斜視図である。 本発明の好ましい実施形態に従って形成されたモノリシックな三次元メモリアレイの形成における段階を例示する断面図である。 本発明の好ましい実施形態に従って形成されたモノリシックな三次元メモリアレイの形成における段階を例示する断面図である。 本発明の好ましい実施形態に従って形成されたモノリシックな三次元メモリアレイの形成における段階を例示する断面図である。 本発明の別の好ましい実施形態に従って形成されたモノリシックな三次元メモリアレイの形成における段階を例示する断面図である。 本発明の別の好ましい実施形態に従って形成されたモノリシックな三次元メモリアレイの形成における段階を例示する断面図である。 本発明の別の好ましい実施形態に従って形成されたモノリシックな三次元メモリアレイの形成における段階を例示する断面図である。 本発明の別の好ましい実施形態に従って形成されたモノリシックな三次元メモリアレイの形成における段階を例示する断面図である。

Claims (9)

  1. モノリシックな三次元メモリアレイを形成する方法であって、
    (a)基板上方に第1のメモリレベルを形成するステップと、
    (b)第1のメモリレベル上方に第2のメモリレベルをモノリシック的に形成するステップと、を含み、
    第1のメモリレベルが、第1の複数のメモリセルを含み、
    各第1のメモリセルが、誘電破壊アンチヒューズと、ゲルマニウムまたはゲルマニウム合金である多結晶の半導体材料を含むダイオードとを含み、
    ステップ(a)と(b)を行っている間の処理温度が500℃超えない方法。
  2. 請求項1記載の方法において、
    処理温度が、450℃を超えない方法。
  3. 請求項1記載の方法において、
    処理温度が、400℃を超えない方法。
  4. 請求項1記載の方法において、
    処理温度が、375℃を超えない方法。
  5. 請求項1記載の方法において、
    処理温度が、350℃を超えない方法。
  6. 請求項1記載の方法において、
    基板が、単結晶シリコンを含む方法。
  7. 請求項1記載の方法において、
    アンチヒューズが、酸化物、窒化物、または酸窒化物層を含む方法。
  8. 請求項1記載の方法において、
    第1のメモリレベルが第1の複数の底部導体および第1の複数の頂部導体をさらに含み、第1の底部導体または第1の頂部導体がアルミニウムまたは銅を含む方法。
  9. 請求項1記載の方法において、
    半導体が、第1の伝導率タイプを有する第1のドープされた半導体材料および第2の伝導率タイプを有する第2のドープされた半導体材料を含む方法。
JP2008511205A 2005-05-09 2006-05-05 半導体ダイオードを含む低温で製作された高密度不揮発性メモリアレイ Expired - Fee Related JP5139269B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/125,606 US20060249753A1 (en) 2005-05-09 2005-05-09 High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
US11/125,606 2005-05-09
PCT/US2006/017525 WO2006121924A2 (en) 2005-05-09 2006-05-05 High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes

Publications (2)

Publication Number Publication Date
JP2008544481A JP2008544481A (ja) 2008-12-04
JP5139269B2 true JP5139269B2 (ja) 2013-02-06

Family

ID=36922209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008511205A Expired - Fee Related JP5139269B2 (ja) 2005-05-09 2006-05-05 半導体ダイオードを含む低温で製作された高密度不揮発性メモリアレイ

Country Status (6)

Country Link
US (1) US20060249753A1 (ja)
EP (1) EP1883963A2 (ja)
JP (1) JP5139269B2 (ja)
KR (2) KR20120087189A (ja)
CN (1) CN101297402B (ja)
WO (1) WO2006121924A2 (ja)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637366B2 (en) * 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
WO2004061851A2 (en) 2002-12-19 2004-07-22 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7285464B2 (en) * 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US7767499B2 (en) 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US7682920B2 (en) * 2003-12-03 2010-03-23 Sandisk 3D Llc Method for making a p-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US8018024B2 (en) 2003-12-03 2011-09-13 Sandisk 3D Llc P-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US8482052B2 (en) 2005-01-03 2013-07-09 Macronix International Co., Ltd. Silicon on insulator and thin film transistor bandgap engineered split gate memory
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US20070069241A1 (en) * 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
US7453755B2 (en) * 2005-07-01 2008-11-18 Sandisk 3D Llc Memory cell with high-K antifuse for reverse bias programming
US7816659B2 (en) 2005-11-23 2010-10-19 Sandisk 3D Llc Devices having reversible resistivity-switching metal oxide or nitride layer with added metal
US7468296B1 (en) * 2005-11-30 2008-12-23 Spansion Llc Thin film germanium diode with low reverse breakdown
US7575984B2 (en) * 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
JP4577695B2 (ja) * 2006-11-07 2010-11-10 エルピーダメモリ株式会社 半導体記憶装置及び半導体記憶装置の製造方法
US7586773B2 (en) * 2007-03-27 2009-09-08 Sandisk 3D Llc Large array of upward pointing p-i-n diodes having large and uniform current
US8124971B2 (en) * 2007-03-30 2012-02-28 Sandisk 3D Llc Implementation of diffusion barrier in 3D memory
US7629253B2 (en) * 2007-03-30 2009-12-08 Sandisk 3D Llc Method for implementing diffusion barrier in 3D memory
US8487450B2 (en) * 2007-05-01 2013-07-16 Micron Technology, Inc. Semiconductor constructions comprising vertically-stacked memory units that include diodes utilizing at least two different dielectric materials, and electronic systems
US8987702B2 (en) 2007-05-01 2015-03-24 Micron Technology, Inc. Selectively conducting devices, diode constructions, constructions, and diode forming methods
US7537968B2 (en) * 2007-06-19 2009-05-26 Sandisk 3D Llc Junction diode with reduced reverse current
US20080315206A1 (en) * 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US8349663B2 (en) * 2007-09-28 2013-01-08 Sandisk 3D Llc Vertical diode based memory cells having a lowered programming voltage and methods of forming the same
US20090086521A1 (en) * 2007-09-28 2009-04-02 Herner S Brad Multiple antifuse memory cells and methods to form, program, and sense the same
US7906392B2 (en) 2008-01-15 2011-03-15 Sandisk 3D Llc Pillar devices and methods of making thereof
JP5305711B2 (ja) * 2008-03-31 2013-10-02 株式会社東芝 不揮発性記憶装置及びその製造方法
US8134194B2 (en) 2008-05-22 2012-03-13 Micron Technology, Inc. Memory cells, memory cell constructions, and memory cell programming methods
US8120951B2 (en) 2008-05-22 2012-02-21 Micron Technology, Inc. Memory devices, memory device constructions, constructions, memory device forming methods, current conducting devices, and memory cell programming methods
CN101621035B (zh) * 2008-07-02 2011-08-17 中芯国际集成电路制造(上海)有限公司 具有otp功能的非晶硅monos或mas存储单元结构
US7579232B1 (en) 2008-07-11 2009-08-25 Sandisk 3D Llc Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
US8193074B2 (en) * 2008-11-21 2012-06-05 Sandisk 3D Llc Integration of damascene type diodes and conductive wires for memory device
US20100283053A1 (en) * 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US20110151617A1 (en) * 2009-12-18 2011-06-23 Unity Semiconductor Corporation Memory and methods of forming the same to enhance scalability of non-volatile two-terminal memory cells
KR20110074354A (ko) 2009-12-24 2011-06-30 삼성전자주식회사 메모리소자 및 그 동작방법
US8431492B2 (en) 2010-02-02 2013-04-30 Sandisk 3D Llc Memory cell that includes a sidewall collar for pillar isolation and methods of forming the same
KR101171256B1 (ko) * 2010-12-15 2012-08-07 에스케이하이닉스 주식회사 저항 소자를 구비하는 반도체 메모리 장치
US8866121B2 (en) 2011-07-29 2014-10-21 Sandisk 3D Llc Current-limiting layer and a current-reducing layer in a memory device
US8659001B2 (en) 2011-09-01 2014-02-25 Sandisk 3D Llc Defect gradient to boost nonvolatile memory performance
JP5858350B2 (ja) * 2011-09-14 2016-02-10 インテル・コーポレーション 装置、方法およびシステム
US8637413B2 (en) 2011-12-02 2014-01-28 Sandisk 3D Llc Nonvolatile resistive memory element with a passivated switching layer
CN102431963B (zh) * 2011-12-15 2015-04-01 中国科学院上海微系统与信息技术研究所 低温下砷化镓图像传感器圆片级芯片尺寸封装工艺
US8698119B2 (en) 2012-01-19 2014-04-15 Sandisk 3D Llc Nonvolatile memory device using a tunnel oxide as a current limiter element
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
US8711603B2 (en) * 2012-05-11 2014-04-29 Micron Technology, Inc. Permutational memory cells
US20140241031A1 (en) 2013-02-28 2014-08-28 Sandisk 3D Llc Dielectric-based memory cells having multi-level one-time programmable and bi-level rewriteable operating modes and methods of forming the same
CN104659014B (zh) * 2013-11-20 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种反熔丝结构、半导体器件和硅通孔的修复方法
US10571631B2 (en) 2015-01-05 2020-02-25 The Research Foundation For The State University Of New York Integrated photonics including waveguiding material
US10976491B2 (en) 2016-11-23 2021-04-13 The Research Foundation For The State University Of New York Photonics interposer optoelectronics
US10698156B2 (en) 2017-04-27 2020-06-30 The Research Foundation For The State University Of New York Wafer scale bonded active photonics interposer
WO2019195441A1 (en) 2018-04-04 2019-10-10 The Research Foundation For The State University Of New York Heterogeneous structure on an integrated photonics platform
US10816724B2 (en) 2018-04-05 2020-10-27 The Research Foundation For The State University Of New York Fabricating photonics structure light signal transmission regions
TWI829761B (zh) 2018-11-21 2024-01-21 紐約州立大學研究基金會 具有積體雷射的光學結構
US11550099B2 (en) 2018-11-21 2023-01-10 The Research Foundation For The State University Of New York Photonics optoelectrical system

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634929A (en) * 1968-11-02 1972-01-18 Tokyo Shibaura Electric Co Method of manufacturing semiconductor integrated circuits
US3582908A (en) * 1969-03-10 1971-06-01 Bell Telephone Labor Inc Writing a read-only memory while protecting nonselected elements
US3576549A (en) * 1969-04-14 1971-04-27 Cogar Corp Semiconductor device, method, and memory array
US3671948A (en) * 1970-09-25 1972-06-20 North American Rockwell Read-only memory
FR2134172B1 (ja) * 1971-04-23 1977-03-18 Radiotechnique Compelec
US3717852A (en) * 1971-09-17 1973-02-20 Ibm Electronically rewritable read-only memory using via connections
US3728695A (en) * 1971-10-06 1973-04-17 Intel Corp Random-access floating gate mos memory array
US3990098A (en) * 1972-12-22 1976-11-02 E. I. Du Pont De Nemours And Co. Structure capable of forming a diode and associated conductive path
US3863231A (en) * 1973-07-23 1975-01-28 Nat Res Dev Read only memory with annular fuse links
JPS5267532A (en) * 1975-12-03 1977-06-04 Nippon Telegr & Teleph Corp <Ntt> Semiconductor memory unit
CA1135854A (en) * 1977-09-30 1982-11-16 Michel Moussie Programmable read only memory cell
US4203123A (en) * 1977-12-12 1980-05-13 Burroughs Corporation Thin film memory device employing amorphous semiconductor materials
US4203158A (en) * 1978-02-24 1980-05-13 Intel Corporation Electrically programmable and erasable MOS floating gate memory device employing tunneling and method of fabricating same
US4281397A (en) * 1979-10-29 1981-07-28 Texas Instruments Incorporated Virtual ground MOS EPROM or ROM matrix
US4419741A (en) * 1980-01-28 1983-12-06 Rca Corporation Read only memory (ROM) having high density memory array with on pitch decoder circuitry
US4499557A (en) * 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4420766A (en) * 1981-02-09 1983-12-13 Harris Corporation Reversibly programmable polycrystalline silicon memory element
US4442507A (en) * 1981-02-23 1984-04-10 Burroughs Corporation Electrically programmable read-only memory stacked above a semiconductor substrate
US4507757A (en) * 1982-03-23 1985-03-26 Texas Instruments Incorporated Avalanche fuse element in programmable memory
US4543594A (en) * 1982-09-07 1985-09-24 Intel Corporation Fusible link employing capacitor structure
US4569121A (en) * 1983-03-07 1986-02-11 Signetics Corporation Method of fabricating a programmable read-only memory cell incorporating an antifuse utilizing deposition of amorphous semiconductor layer
US4646266A (en) * 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
US4654224A (en) * 1985-02-19 1987-03-31 Energy Conversion Devices, Inc. Method of manufacturing a thermoelectric element
JPS6258673A (ja) * 1985-09-09 1987-03-14 Fujitsu Ltd 半導体記憶装置
US4899205A (en) * 1986-05-09 1990-02-06 Actel Corporation Electrically-programmable low-impedance anti-fuse element
US4823181A (en) * 1986-05-09 1989-04-18 Actel Corporation Programmable low impedance anti-fuse element
US4943538A (en) * 1986-05-09 1990-07-24 Actel Corporation Programmable low impedance anti-fuse element
US4876220A (en) * 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
US4881114A (en) * 1986-05-16 1989-11-14 Actel Corporation Selectively formable vertical diode circuit element
US4820657A (en) * 1987-02-06 1989-04-11 Georgia Tech Research Corporation Method for altering characteristics of junction semiconductor devices
US5070383A (en) * 1989-01-10 1991-12-03 Zoran Corporation Programmable memory matrix employing voltage-variable resistors
US5311039A (en) * 1990-04-24 1994-05-10 Seiko Epson Corporation PROM and ROM memory cells
US5334880A (en) * 1991-04-30 1994-08-02 International Business Machines Corporation Low voltage programmable storage element
US5536968A (en) * 1992-12-18 1996-07-16 At&T Global Information Solutions Company Polysilicon fuse array structure for integrated circuits
US5391518A (en) * 1993-09-24 1995-02-21 Vlsi Technology, Inc. Method of making a field programmable read only memory (ROM) cell using an amorphous silicon fuse with buried contact polysilicon and metal electrodes
US5463244A (en) * 1994-05-26 1995-10-31 Symetrix Corporation Antifuse programmable element using ferroelectric material
US5441907A (en) * 1994-06-27 1995-08-15 Taiwan Semiconductor Manufacturing Company Process for manufacturing a plug-diode mask ROM
US5675547A (en) * 1995-06-01 1997-10-07 Sony Corporation One time programmable read only memory programmed by destruction of insulating layer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
TW306005B (en) * 1996-11-22 1997-05-21 United Microelectronics Corp Decoding method of diode-type read only memory array
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US5936280A (en) * 1997-04-21 1999-08-10 Advanced Micro Devices, Inc. Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices
US5888853A (en) * 1997-08-01 1999-03-30 Advanced Micro Devices, Inc. Integrated circuit including a graded grain structure for enhanced transistor formation and fabrication method thereof
US6069398A (en) * 1997-08-01 2000-05-30 Advanced Micro Devices, Inc. Thin film resistor and fabrication method thereof
US7157314B2 (en) * 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6117725A (en) * 1999-08-11 2000-09-12 Taiwan Semiconductor Manufacturing Company Method for making cost-effective embedded DRAM structures compatible with logic circuit processing
JP2001102523A (ja) * 1999-09-28 2001-04-13 Sony Corp 薄膜デバイスおよびその製造方法
US6624011B1 (en) * 2000-08-14 2003-09-23 Matrix Semiconductor, Inc. Thermal processing for three dimensional circuits
US6611453B2 (en) * 2001-01-24 2003-08-26 Infineon Technologies Ag Self-aligned cross-point MRAM device with aluminum metallization layers
US6638839B2 (en) * 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
US6525953B1 (en) * 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6730553B2 (en) * 2001-08-30 2004-05-04 Micron Technology, Inc. Methods for making semiconductor structures having high-speed areas and high-density areas
JP4162879B2 (ja) * 2001-10-11 2008-10-08 富士通株式会社 半導体装置の製造方法
US6624485B2 (en) * 2001-11-05 2003-09-23 Matrix Semiconductor, Inc. Three-dimensional, mask-programmed read only memory
DE10200399B4 (de) * 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
JP4103497B2 (ja) * 2002-04-18 2008-06-18 ソニー株式会社 記憶装置とその製造方法および使用方法、半導体装置とその製造方法
US6828685B2 (en) * 2002-06-14 2004-12-07 Hewlett-Packard Development Company, L.P. Memory device having a semiconducting polymer film
US6952043B2 (en) * 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
US6870755B2 (en) * 2002-08-02 2005-03-22 Unity Semiconductor Corporation Re-writable memory with non-linear memory element
WO2004061851A2 (en) * 2002-12-19 2004-07-22 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US8637366B2 (en) * 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US7285464B2 (en) * 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
DE60235267D1 (de) * 2002-12-20 2010-03-18 Ibm Herstellungsverfahren einer dreidimensionalen vorrichtung
US6815077B1 (en) * 2003-05-20 2004-11-09 Matrix Semiconductor, Inc. Low temperature, low-resistivity heavily doped p-type polysilicon deposition
US6956278B2 (en) * 2003-06-30 2005-10-18 Matrix Semiconductor, Inc. Low-density, high-resistivity titanium nitride layer for use as a contact for low-leakage dielectric layers
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US20050221200A1 (en) * 2004-04-01 2005-10-06 Matrix Semiconductor, Inc. Photomask features with chromeless nonprinting phase shifting window
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US7224013B2 (en) * 2004-09-29 2007-05-29 Sandisk 3D Llc Junction diode comprising varying semiconductor compositions
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US7553611B2 (en) * 2005-03-31 2009-06-30 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7812404B2 (en) * 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US7615502B2 (en) * 2005-12-16 2009-11-10 Sandisk 3D Llc Laser anneal of vertically oriented semiconductor structures while maintaining a dopant profile

Also Published As

Publication number Publication date
CN101297402A (zh) 2008-10-29
WO2006121924A2 (en) 2006-11-16
KR20120087189A (ko) 2012-08-06
US20060249753A1 (en) 2006-11-09
JP2008544481A (ja) 2008-12-04
EP1883963A2 (en) 2008-02-06
WO2006121924A3 (en) 2007-03-01
KR20080022085A (ko) 2008-03-10
KR101287015B1 (ko) 2013-07-17
CN101297402B (zh) 2010-05-19

Similar Documents

Publication Publication Date Title
JP5139269B2 (ja) 半導体ダイオードを含む低温で製作された高密度不揮発性メモリアレイ
US8722518B2 (en) Methods for protecting patterned features during trench etch
US7786015B2 (en) Method for fabricating self-aligned complementary pillar structures and wiring
US7285464B2 (en) Nonvolatile memory cell comprising a reduced height vertical diode
US7781269B2 (en) Triangle two dimensional complementary patterning of pillars
US7728318B2 (en) Nonvolatile phase change memory cell having a reduced contact area
US7238607B2 (en) Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US7994068B2 (en) Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US7422985B2 (en) Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US8163593B2 (en) Method of making a nonvolatile phase change memory cell having a reduced contact area
US20080017890A1 (en) Highly dense monolithic three dimensional memory array and method for forming
KR20080091135A (ko) 엔-형 도펀트 확산을 최소화하기 위한 증착된 반도체 구조및 이의 제조 방법
US20090155962A1 (en) Method for fabricating pitch-doubling pillar structures
JP2008536300A (ja) 導電性フィーチャへの接点を作る際の誘電体オーバーエッチングを低減するための方法
KR20080074883A (ko) 도펀트 확산을 회피 혹은 제한시키기 위해 안티몬이 도핑된수직 다이오드
US20100283053A1 (en) Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120821

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120918

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121023

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121115

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151122

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees