KR101287015B1 - 저온으로 제조되고 반도체 다이오드를 포함하는 고밀도비휘발성 메모리 어레이 - Google Patents

저온으로 제조되고 반도체 다이오드를 포함하는 고밀도비휘발성 메모리 어레이 Download PDF

Info

Publication number
KR101287015B1
KR101287015B1 KR1020077027839A KR20077027839A KR101287015B1 KR 101287015 B1 KR101287015 B1 KR 101287015B1 KR 1020077027839 A KR1020077027839 A KR 1020077027839A KR 20077027839 A KR20077027839 A KR 20077027839A KR 101287015 B1 KR101287015 B1 KR 101287015B1
Authority
KR
South Korea
Prior art keywords
delete delete
conductors
germanium
memory
forming
Prior art date
Application number
KR1020077027839A
Other languages
English (en)
Other versions
KR20080022085A (ko
Inventor
에스. 브래드 허너
사무엘 브이. 던톤
Original Assignee
쌘디스크 3디 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쌘디스크 3디 엘엘씨 filed Critical 쌘디스크 3디 엘엘씨
Publication of KR20080022085A publication Critical patent/KR20080022085A/ko
Application granted granted Critical
Publication of KR101287015B1 publication Critical patent/KR101287015B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1021Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components including diodes only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

고밀도 모놀리딕 3차원 메모리 어레이에 사용하기에 적합한 메모리 셀이 기술된다. 메모리셀의 바람직한 실시예에서, 비교적 낮은 온도에서 결정화될 수 있는 게르마늄 또는 게르마늄 합금으로 형성된 반도체 접합 다이오드는 컨덕터들 사이에 배치된다. 저온 재료를 사용하면, 매우 작은 피처 크기로 충분한 전류를 제공하는 저저항 재료들인 구리 또는 알루미늄으로 컨덕터를 형성할 수 있으며 따라서 고밀도 적층 어레이가 형성될 수 있다.

Description

저온으로 제조되고 반도체 다이오드를 포함하는 고밀도 비휘발성 메모리 어레이{HIGH―DENSITY NONVOLATILE MEMORY ARRAY FABRICATED AT LOW TEMPERATURE COMPRISING SEMICONDUCTOR DIODES}
본 발명은 게르마늄 또는 게르마늄-합금 다이오드를 포함하는 고밀도 비휘발성 메모리 어레이에 관한 것이다.
종래의 반도체 장치들에서, 메모리 셀들은 메모리 셀들에 전기 접속을 제공하는 도전성 와이어링과 함께 단결정 실리콘 웨이퍼 기판에 제조된다. 일반적으로, 이들 컨덕터들은 어레이가 형성된 후 형성될 수 있으며, 따라서 메모리 셀 그 자체들을 형성하는데 필요한 온도에 종속될 필요가 없다. 특히, 상부 금속 컨덕터들은 예컨대 다결정 실리콘(이러한 논의에서 다결정 실리콘은 폴리실리콘이라 칭할 것이다)의 증착 및 결정화동안 유발되는 온도에 종속될 필요가 없으며(폴리실리콘은 제어 게이트들 및 플로팅 게이트들과 같은 메모리 엘리먼트들에서 사용된다), 상기 온도는 보통 약 500℃를 초과한다. 따라서, 알루미늄 및 구리와 같이 고온 처리에 견딜 수 없는 금속들은 종래의 2차원 반도체 장치들에서 컨덕터들로 성공적으로 사용될 수 있다. 알루미늄 및 구리는 초저저항 재료들이며 이에 따라 컨덕터로 사용하기에 바람직하다.
"수직 적층된 필드 프로그램가능 비휘발성 메모리 및 이의 제조방법"이라는 명칭을 가진 Johnson 등의 미국특허 제6,034,882호에 개시된 것과 같은 모놀리딕 3차원 메모리 어레이들에서는 단결정 실리콘 웨이퍼 기판 위에 서로 교번하여 적층된 다중 메모리 레벨들이 형성되며, 이 출원은 본 발명의 양수인에게 양도되고 여기에 참조문헌으로서 통합된다.
모놀리딕 3차원 메모리 어레이에 있어서, 제 1 메모리 레벨의 일부분으로서 형성된 컨덕터들은 다음 레벨 및 모두 다음에 형성된 메모리 레벨들에 메모리 셀들의 모든 엘리먼트를 형성하는데 필요한 처리 온도에 견딜 수 있어야 한다. 메모리 셀이 결정화되어야 하는 증착된 실리콘을 포함할 경우에, 종래의 증착 및 결정화 기술을 사용하면 컨덕터들은 예컨대 550℃를 초과하는 온도를 견딜 수 있어야 한다.
알루미늄 와이어링은 약 475℃ 이상의 온도들에서 연화 및 압출되는 경향이 있고, 구리는 더 낮은 열적 내구력을 갖는다. 따라서, Johnson 등의 에레이들과 같은 어레이들에서는 높은 처리온도에 견딜 수 있는 재료들이 컨덕터들로서 바람직하게 사용된다.
Johnson 등의 에레이들과 같은 메모리 어레이들이 작은 크기로 소형화됨에 따라 컨덕터들의 단면들이 작아지며 결국 저항이 증가한다. 따라서, 저온에서 증착된 반도체 재료를 포함하는 고밀도 메모리 장치가 저저항 컨덕터들을 사용할 수 있도록 하는 효율적인 저비용 방법에 대한 필요성이 요망된다.
본 발명은 이하의 청구범위에 의하여 한정되며, 본 단락에서 기술된 내용은 본 발명을 제한하는 것으로 의도되지 않는다. 일반적으로, 본 발명은 고밀도 어레이로 제조될 수 있으며 게르마늄 또는 게르마늄 화합물 다이오드 및 저밀도 재료로 형성된 컨덕터들을 가진 비휘발성 메모리 셀에 관한 것이다.
본 발명의 제 1 양상은 모놀리딕 3차원 메모리 어레이를 형성하기 위한 방법으로서, 반도체 재료를 각각 포함하는 제 1의 다수의 메모리 셀들을 포함하는 제 1 메모리 레벨을 기판 위에 형성하는 단계; 및 상기 제 1 메모리 레벨 위에 제 2 메모리 레벨을 모놀리딕식으로 형성하는 단계를 포함하며; 상기 모놀리딕 3차원 메모리 어레이의 형성 동안, 상기 어레이 형성 동안의 처리 온도는 약 500℃를 초과하지 않는, 모놀리딕 3차원 메모리 어레이 형성 방법을 제공한다.
본 발명의 다른 양상은 모놀리딕 3차원 메모리 어레이로서, a) 제 1 메모리 레벨을 포함하는데, 상기 제 1 메모리 레벨은, i) 제 1 알루미늄층 또는 제 1 구리층을 포함하는 제 1의 다수의 하부 컨덕터들, ii) 상기 제 1 하부 컨덕터들 위에 형성되며, 게르마늄 또는 게르마늄 합금을 포함하는 제 1의 다수의 필러형 다이오드들, 및 iii) 상기 제 1 다이오드들 위에 형성되며, 제 2 알루미늄층 또는 제 2 구리층을 포함하는 제 1의 다수의 상부 컨덕터들을 포함하며; 및 b) 상기 제 1 메모리 레벨 위에 모놀리딕식으로 형성된 제 2 메모리 레벨을 포함하는, 모놀리딕 3차원 메모리 어레이를 제공한다.
본 발명의 또 다른 양상은 제 1 메모리 레벨을 형성하는 방법으로서, 제 1방향으로 연장되며 구리 또는 알루미늄을 포함하는 제 1의 다수의 실질적으로 평행한 실질적으로 공면형 레일-형상 하부 컨덕터들을 형성하는 단계; 상기 제 1 하부 컨덕터들 위에 형성되고, 게르마늄 또는 게르마늄 합금을 포함하는 제 1의 다수의 다이오드들을 형성하는 단계; 및 상기 제 1 다이오드들 위에 형성되고 상기 제 1 방향과 다른 제 2 방향으로 연장되며 구리 또는 알루미늄을 포함하는 제 1의 다수의 실질적으로 평행한 실질적으로 공면형 레일-형상 상부 컨덕터들을 형성하는 단계를 포함하며, 상기 제 1 메모리 레벨의 형성동안, 상기 처리 온도는 500℃를 초과하지 않는, 제 1 메모리 레벨 형성 방법을 제공한다.
본 발명의 다른 양상은 비휘발성 원-타임 프로그램가능 메모리 셀로서, 하부 컨덕터; 상기 하부 컨덕터 위에 형성된 다결정 다이오드; 및 상기 다이오드 위에 형성된 상부 컨덕터를 포함하며; 상기 셀이 프로그래밍된 후에, 약 1볼트가 상기 상부 컨덕터 및 상기 하부 컨덕터 사이에 공급될 때, 상기 다이오드를 통해 흐르는 전류가 적어도 약 100 마이크로암페어인, 비휘발성 원-타임 프로그램가능 메모리 셀을 제공한다.
본 발명의 또 다른 양상은 알루미늄 또는 구리를 포함하는 하부 컨덕터; 적어도 20 원자 퍼센트 게르마늄인 반도체 재료를 포함하는 필러(pillar); 및 알루미늄 또는 구리를 포함하는 상부 컨덕터를 포함하며; 상기 필러는 상기 상부 컨덕터 및 상기 하부 컨덕터 사이에 배치되며; 상기 반도체 재료는 고저항 상태로 형성되며, 프로그래밍 전압의 인가시에 다이오드를 저저항 상태로 전환하는, 비휘발성 메모리 셀을 제공한다.
본 발명의 바람직한 실시예는 모놀리딕 3차원 메모리 어레이로서, a) 기판 위에 형성되며, 다수의 메모리 셀들을 포함하는 제 1 메모리 레벨을 포함하는데, 상기 각각의 메모리 셀은, i) 알루미늄 합금을 포함하는 하부 컨덕터, ii) 적어도 20 원자 퍼센트 게르마늄인 반도체 재료를 포함하는 필러, iii) 알루미늄 합금을 포함하는 상부 컨덕터를 포함하며, 상기 필러는 상기 상부 컨덕터 및 상기 하부 컨덕터사이에 배치되며, 상기 반도체 재료는 고저항 상태로 형성되며, 프로그래밍 전압의 인가시에 다이오드를 저저항 상태로 전환하며; 및 b) 상기 제 1 메모리 레벨위에 모놀리딕식으로 형성된 제 2 메모리 레벨을 포함하는, 모놀리딕 3차원 메모리 어레이를 제공한다.
본 발명의 다른 바람직한 양상은, 모놀리딕 3차원 메모리 어레이로서,a) 기판 위에 형성된 제 1 메모리 레벨을 포함하는데, 상기 제 1 메모리 레벨은, i) 구리를 포함하며 다마신 방법에 의하여 형성되는 하부 컨덕터, ii) 적어도 20 원자 퍼센트 게르마늄인 반도체 재료를 포함하는 필러, iii) 구리를 포함하며 다마신 방법에 의하여 형성되는 상부 컨덕터를 포함하며, 상기 필러는 상기 상부 컨덕터 및 상기 하부 컨덕터 사이에 배치되며, 상기 반도체 재료는 고저항 상태로 형성되며, 프로그래밍 전압의 인가시에 다이오드를 저저항 상태로 전환하며; 및 b) 상기 제 1 메모리 레벨 위에 모놀리딕식으로 형성된 제 2 메모리 레벨을 포함하는, 모놀리딕 3차원 메모리 어레이를 제공한다.
본 발명의 바람직한 양상은 모놀리딕 3차원 메모리 어레이를 형성하기 위한 방법으로서, a) i) 구리 또는 알루미늄 합금을 포함하는 제 1의 다수의 실질적으로 평행한 실질적으로 공면형 하부 컨덕터들을 형성하는 단계, ii) 상기 제 1 하부 컨덕트들 위에 형성되고 게르마늄 또는 게르마늄 합금을 포함하는 제 1의 다수의 다이오드들을 형성하는 단계, 및 iii) 상기 제 1 다이오드들 위에 형성되고 구리 또는 알루미늄 합금을 포함하는 제 1의 다수의 실질적으로 평행한 실질적으로 공면형 상부 컨덕터들을 형성하는 단계를 포함하는 방법에 의하여 기판 위에 제 1 메모리 레벨을 형성하는 단계; 및 b) 상기 제 1 메모리 레벨 위에 제 2 메모리 레벨을 모놀리딕식으로 형성하는 단계를 포함하는, 모놀리딕 3차원 메모리 어레이 형성 방법을 제공한다.
여기에 기술된 본 발명의 양상들 및 실시예들의 각각은 단독으로 또는 서로 관련하여 사용될 수 있다.
바람직한 양상들 및 실시예들은 첨부 도면들을 참조로 하여 지금 기술될 것이다.
도 1은 '470 출원에 따라 형성된 메모리 셀의 사시도.
도 2는 도 1의 셀과 같은 셀들을 포함하는 메모리 레벨의 사시도.
도 3은 본 발명의 실시예에 따라 형성된 원-타임 프로그램가능 비휘발성 메모리 셀의 사시도.
도 4a-4c는 본 발명의 바람직한 실시예에 따라 형성된 모놀리딕 3차원 메모리 어레이의 형성 스테이지들을 기술한 단면도.
도 5a-5d는 본 발명의 다른 바람직한 실시예에 따라 형성된 모놀리딕 3차원 메모리 어레이의 형성 스테이지들을 기술한 단면도.
도 1은 Herner 등에 의한 미국 출원번호 제10/326,470호에 개시된 메모리 셀을 도시하며, 이후에 이러한 470' 출원은 포기되었으며 여기에 참조문헌으로서 통합된다. '470 출원은 바람직하게 단결정 실리콘의 기판 위에 형성된 셀들을 포함하는 모놀리딕 3차원 메모리 어레이의 제조 및 사용을 개시한다. 관련 메모리 어레이들 및 이들의 용도 및 제조 방법들은 "고 및 저-임피던스 상태를 가진 유전체 안티퓨즈없는 비휘발성 메모리 셀"이라는 명칭으로 2004년 9월 29일에 출원된 Herner 등의 미국특허 출원번호 제10/955,549호(이후에 '549 출원이라 칭함); "감소된 높이를 가진 수직 다이오드를 포함하는 비휘발성 메모리 셀"이라는 명칭으로 2004년 12월 17일에 출원된 Herner 등에 의한 미국특허 출원번호 제11/015,824호(이후 '824 출원이라 칭함); 및 "가변 반도체 조성물들을 포함하는 접합 다이오드"라는 명칭으로 2004년 9월 29일에 출원된 Herner 등에 의한 미국 특허출원 제10/954,577호(이후 '577 출원이라 칭함)에 개시되어 있으며, 이들 출원들 모두는 본 발명의 양수인에게 양도되며 여기에 참조문헌으로서 통합된다.
도 1을 참조하면, '470 출원의 바람직한 실시예에서 폴리실리콘 다이오드(30)는 하부 컨덕터(20) 및 상부 컨덕터(40) 사이에 배치되며, 유전체 파열 안티퓨즈(18), 전형적인 박막 산화물층에 의하여 상부 컨덕터(40)로부터 분리된다. 셀은 초기 고저항 상태로 형성되며, 판독 전압이 하부 컨덕터(20) 및 상부 컨덕터(40) 사이에 공급될 때 이들 컨덕터들 사이에 적은 전류가 흐르거나 또는 전류가 흐르지 않는다. 그러나, 프로그래밍 전압의 인가시에, 셀은 저저항 상태로 영구적 으로 전환된다. 이러한 저저항 상태에서, 판독 전압이 하부 컨덕터(20) 및 상부 컨덕터(40) 사이에 공급될 때 신뢰성 있게 검출가능한 전류가 흐른다. 초기 고저항 상태는 예컨대 데이터 "0"에 대응할 수 있는 반면에, 프로그래밍된 저저항 상태는 데이터 "1"에 대응한다.
고저항 상태로부터 저저항 상태로의 변화는 적어도 두가지 변화들로부터 야기된다. 유전체 파열 안티퓨즈(18)는 유전체 항복을 경험하며, 변경할 수 없는 파열들은 안티퓨즈(18)를 통해 형성된 파열 경로를 통해 도전성이 된다. 더욱이, '549 출원에 더 상세히 기술된 바와 같이, 다이오드 그 자체의 반도체 재료는 고저항 상태로부터 저저항 상태로 전환된다. 다이오드(30)는 프로그래밍 전에 다결정이다. 프로그래밍 전압이 공급된 후에, 폴리실리콘 다이오드(30)는 프로그래밍 전압의 인가 전보다 더 도전적이다.
'470, '549, '824 및 '577의 바람직한 실시예들에서, 하부 컨덕터(20) 및 상부 컨덕터(40)는 티타늄 질화물 접착층들(2, 22) 및 텅스텐층들(4, 24)을 포함한다. 티타늄 질화물 장벽층(9)은 텅스텐층(4)으로부터 폴리실리콘 다이오드(30)를 분리한다. 이러한 다수의 상부 및 하부 컨덕터들은 중재 다이오드들 및 안티퓨즈들과 함께 크로스-포인트 어레이로 제조될 수 있어서 제 1 메모리 레벨을 형성하며, 이의 전형적인 부분은 도 2에 도시되어 있다.
도 1의 메모리 셀은 넓은 범위에 걸쳐 매우 효과적이다. 그러나, 설계가 항상 작은 크기로 이루어지기 때문에, 하부 컨덕터(20) 및 상부 컨덕터(40)의 단면 영역들은 감소하며 컨덕터들의 저항은 증가한다. 두께를 빠르게 증가시켜서 감소 하는 폭을 보상하는 것이 비현실적이기 때문에, 고-에스펙트 비 피처들(feature)은 신뢰성있게 패터닝하기가 곤란하며 고-에스펙트 비 갭들은 유전체로 채우기가 곤란하다. 매우 작은 피처 크기에서, 텅스텐 컨덕터들은 너무 높은 저항을 가져서 장치의 성능을 향상시킬 수 없다.
상부 및 하부 컨덕터들을 형성하기 위하여 저저항 재료를 사용하는 것은 바람직하다. 그러나, 앞서 언급된 바와 같이, 폴리실리콘 다이오드(30)의 결정화는 알루미늄 또는 구리의 사용과 양립할 수 없는 온도로 통상적으로 수행된다.
과거 10년 동안 게르마늄보다 오히려 실리콘이 반도체 집적회로에 사용되는 표준 반도체 재료가 되었다. 이는 실리콘이 산화될 때 이산화실리콘을 형성하고 여러 다른 용도 중 유전체가 필요할 때마다 고품질의 유전체 재료(인터레벨 유전체, 필드 산화물, 갭 필 재료, 및 게이트 유전체를 포함함)가 광범위하게 사용되는 사실 때문에 매우 중요하다. 단결정 게르마늄 장치의 상업화가 부족하며 다결정 게르마늄을 사용하는 장치가 적다.
본 발명에서, 다결정 다이오드들은 게르마늄 또는 게르마늄 풍부 합금들로 형성된다. 약 350℃ 만큼 낮은 온도에서 게르마늄의 결정화는 Edelman et al., "Initial Crystallization Stage of Amorphous Germanium Films", J. Appl. Phys., 5153 (1992)에 개시되어 있다. 약 475 ℃ 이하에서의 결정화는 알루미늄 컨덕터들의 사용을 가능하게 하는 반면에, 낮은 온도는 구리 컨덕터들의 사용을 가능하게 한다. 이들 저저항 금속들은 감소된 단면으로 형성될 수 있는 저저항 컨덕터들을 형성한다. 폭 및 에스펙트 비의 감소는 메모리 어레이의 고밀도를 가능하게 한다.
도 3은 본 발명에 따라 형성된 메모리 셀을 도시한다. 이러한 실시예에 있어서, 하부 컨덕터(20) 및 상부 컨덕터(40)는 각각 알루미늄층들(15, 25)을 포함하며, 대안 실시예에서 컨덕터들은 구리를 포함한다. 다이오드(32)는 게르마늄 또는 게르마늄 합금으로 형성된 p-i-n 다이오드이다. 게르마늄 합금은 바람직하게 적어도 20 원자 퍼센트 게르마늄이며, 바람직하게 적어도 50 원자 퍼센트 게르마늄이며, 바람직한 실시예에서는 적어도 80 또는 적어도 90 원자 퍼센트 원자 게르마늄이다. 유전체 파열 안티퓨즈(18)는 컨덕터들 사이에서 다이오드(32)와 직렬로 배열된다. 유전체 파열 안티퓨즈(18)는 산화물, 질화물 또는 옥시니트라이드와 같은 임의의 적절한 유전체 재료로 형성될 수 있다.
실리콘보다 오히려 게르마늄 또는 게르마늄-풍부 합금을 사용하면, 다이오드의 결정 온도는 대규모 제조 동안 실제적으로 유지되는 어닐(anneal) 시간에 약 350 ℃만큼 낮게 감소된다.
두가지 상세한 예들이 제공되며, 여기서 다른 모놀리딕 3차원 메모리 어레이의 각각은 본 발명에 따라 형성된다. 제 1 실시예는 알루미늄 컨덕터들의 사용을 기술하는 반면에 제 2 실시예는 구리 컨덕터들의 사용을 기술할 것이다. 명확화를 위하여, 단계들, 재료들 및 공정 조건들을 포함하는 세부사항들이 포함될 것이다. 이러한 예는 비제한적이며 이들 세부사항들은 수정, 생략 또는 추가될 수 있으며 이의 결과는 본 발명의 범위내에 있다는 것이 이해되어야 한다. 특히, '470, '549, '824, '577 및 다른 통합 출원들 및 특허들에는 본 발명에 따른 메모리를 형성하는 것이 개시되어 있다. 단순화를 위하여, 통합된 출원들 및 특허들에 개시된 세부사항들이 포함되지 않으나 이들 출원들 또는 특허들에 개시된 세부사항들은 모두 포함되는 것으로 의도된다.
예: 알루미늄 컨덕터들
도 4a를 참조하면, 메모리의 형성은 기판(100)과 함께 시작된다. 이러한 기판(100)은 단결정 실리콘, 실리콘-게르마늄 또는 실리콘-게르마늄-탄소와 같은 IV-IV 화합물들, III-V 화합물들, II-VII 화합물들과 같이 공지된 임의의 반도체 기판, 이러한 기판들 위의 에피택셜층들, 또는 임의의 다른 반도체 재료일 수 있다. 기판은 그 내에 제조된 집적회로들을 포함할 수 있다.
절연층(102)은 기판(100) 위에 형성된다. 절연층(102)은 실리콘 산화물, 실리콘 질화물, 고유전체 막, Si-C-O-H 막 또는 임의의 다른 적절한 절연재료일 수 있다.
제 1 컨덕터들(200)은 기판(100) 및 절연체(102) 위에 형성된다. 접착층(104)은 도전층(106)을 접착하는데 도움을 주기 위하여 절연층(102) 및 도전층(106) 사이에 포함될 수 있다. 접착층(104)에 대한 바람직한 재료는 비록 다른 재료들이 사용되거나 또는 이러한 층이 생략될 수 있을지라도 티타늄 질화물이다. 접착층(104)은 임의의 종래의 방법, 예컨대 스퍼터링에 의하여 증착될 수 있다.
접착층(104)의 두께는 약 20 내지 약 500 옹스트롬일 수 있으며, 바람직하게 약 100 내지 약 400 옹스트롬이며, 더 바람직하게 약 200 옹스트롬이다. 여기에서 "두께"는 기판(100)에 수직한 방향에서 측정된 수직 두께를 나타낼 것이라는 것에 유의해야 한다.
증착된 다음 층은 도전층(106)이다. 본 실시예에서, 도전층(106)은 비록 덜 바람직한 실시예에서 도핑된 반도체와 같은 공지된 임의의 도전재료, 텅스텐과 같은 금속 또는 금속 실리사이드가 사용될지라도 알루미늄 또는 알루미늄 합금이다. 도전층(106)의 두께는 적정 시트 저항에 부분적으로 좌우될 수 있으며, 따라서 적정 시트 저항을 제공하는 임의의 두께일 수 있다. 일 실시예에서, 도전층(106)의 두께는 약 500 내지 약 3000 옹스트롬, 바람직하게 1000 내지 2000 옹스트롬, 더 바람직하게 약 1200 옹스트롬일 수 있다.
티타늄 질화물의 다른층(110)은 도전층(106) 상에 증착된다. 이러한 층은 대략 접착층(104)과 동일한 두께를 가질 수 있다. 무반사 코팅이 사용될 수 있다. 티타늄 질화물층(110)은 알루미늄층(106) 및 형성될 다이오드의 게르마늄 또는 게르마늄 풍부 합금사이의 장벽층으로서 사용될 것이다.
일단 컨덕터 레인들을 형성하는 모든 층들이 증착되면, 층들은 도 4a에서 단면도로 도시된 실질적으로 평행하고 실질적으로 동일 평면 컨덕터들(200)을 형성하기 위하여 임의의 적절한 마스킹 및 에칭 공정을 사용하여 패터닝 및 에칭될 것이다. 일 실시예에 있어서, 포토레지스트는 증착되어 포토리소그라피에 의하여 패터닝되고 층들이 에칭된후, 포토레지스트는 산소 포함 플라즈마의 "애싱"과 같은 표준 공정 기술들 및 EKC에 의하여 공식화된 것들과 같은 종래의 액체 솔벤트에서 에칭되는 동안 형성된 중합체를 남기는 스트립을 사용하여 제거된다.
반복 패턴에서, 피치(pitch)는 피처 및 동일한 피처의 다음 순환 사이의 거리이다. 컨덕터들(200)과 같은 다수의 실질적으로 평행한 라인들에서, 예컨대 컨 덕터들(200)의 피치는 한 라인의 중심으로부터 다음 라인의 중심까지의 거리이다. 컨덕터들(200)은 임의의 적정 피치에 형성될 수 있으나, 컨덕터들(200)의 피치는 최대 180nm, 바람직하게 최대 약 150nm, 더 바람직하게 최대 약 120nm, 가장 바람직하게 최대 약 90nm이다. 컨덕터들(200)의 피치는 90nm보다 짧을 수 있다.
다음에, 유전체 재료(108)는 컨덕터 레일(rail)들(200) 위에 그리고 컨덕터 레일들(200) 사이에 증착된다. 유전체 재료(108)는 실리콘 산화물, 실리콘 질화물, 또는 실리콘 옥시니트라이드와 같은 임의의 공지된 전기 절연재료일 수 있다. 바람직한 실시예에 있어서, 이산화실리콘은 유전체 재료(108)를 위하여 사용된다. 실리콘 산화물은 화학기상증착(CVD), 또는 예컨대 고밀도 플라즈마 CVD(HDPCVD)와 같은 임의의 공지된 공정을 사용하여 증착될 수 있다.
최종적으로, 컨덕터 레일들(200)의 상부에 있는 유전체 재료(108)는 제거되어 유전체 재료(108)에 의하여 분리된 컨덕터 레일들(200)의 상부를 노출시키고 실질적으로 평면형 표면(109)을 남긴다. 결과적인 구조는 도 4a에 도시된다. 평면형 표면(109)을 형성하기 위한 이러한 유전체 오버필(overfill)의 제거는 에치백(etchback) 또는 화학 기계적 연마(CMP)와 같은 공지된 임의의 공정에 의하여 수행될 수 있다. 예컨대, "매립 패터닝된 피처들을 노출시키기 위한 비선택적 비패터닝 에치백"이라는 명칭으로 2004년 6월 30일에 출원된 Raghuram 등에 의한 미국출원번호 10/883,417에 개시된 에치백 기술들은 유리하게 사용될 수 있으며, 이 출원은 여기에 참조문헌으로서 통합된다.
만일 이러한 평탄화 단계가 CMP에 의하여 수행되면, 예컨대 약 600 옹스트롬 의 티타늄 질화물층(110)의 일부 두께는 손실될 것이다. 이러한 경우에, 티타늄 질화물의 초과 희생 두께는 바람직하게 티타늄 질화물의 적어도 약 200 옹스트롬이 CMP이후에도 유지되도록 제공되어야 한다.
요약하면, 하부 컨덕터들은 알루미늄층 또는 알루미늄층을 포함한 도전 스택을 증착하는 단계; 제 1 하부 컨덕터들을 형성하기 위하여 알루미늄층 또는 도전 스택을 패터닝하고 에칭하는 단계; 제 1 하부 컨덕터들 위에 그리고 제 1 하부 컨덕터들 사이에 제 1 유전체 재료를 증착하는 단계; 및 제 1 하부 컨덕터들 및 제 1 유전체 재료를 동시 노출시킨 실질적으로 평면형 표면을 형성하기 위하여 평탄화하는 단계를 포함하는 방법에 의하여 형성된다.
다음에, 도 4b를 참조하면, 수직 필러(pillar)들은 완성된 컨덕터 레일들(200) 위에 형성될 것이다(공간을 절약하기 위하여 기판(100)은 도 4b 및 다음 도면들에서 생략되나 존재하는 것으로 가정된다). 필러들 내로 패터닝될 반도체 재료가 증착된다. 반도체 재료는 실리콘, 실리콘-게르마늄, 실리콘-게르마늄-탄소, 게르마늄, 또는 다른 적절한 IV-IV 화합물, 갈륨 아세나이드, 인듐 인화물, 또는 다른 적절한 III-V 화합물, 아연 셀리나이드, 또는 다른 II-VII 화합물 또는 이들의 조합일 수 있다. 바람직한 실시예들에 있어서, 적어도 20, 적어도 50, 적어도 80, 또는 적어도 90 원자 퍼센트 게르마늄 또는 순 게르마늄, 즉 임의의 비율의 게르마늄을 포함하는 게르마늄 합금들이 사용될 수 있다. 본 예는 순 게르마늄의 사용을 기술할 것이다. 용어 "순 게르마늄"은 전형적인 제조 환경에서 보통 발견되는 도전성-강화 도펀트 또는 오염물의 존재를 배제하지 않는다.
바람직한 실시예들에 있어서, 반도체 필러는 접합 다이오드를 포함한다. 용어 "접합 다이오드"는 비오옴 전도특성을 가지며, 두 개의 단자 전극들을 포함하며 하나의 전극이 p-형이며 다른 전극이 n-형인 반도체 장치를 언급하기 위하여 여기에서 사용된다. 예들은 제너 다이오드와 같이 p-형 반도체 재료 및 n-형 반도체 재료를 접촉한 p-n 다이오드들 및 n-p 다이오드들, 및 진성(비도핑) 반도체 재료가 p-형 반도체 재료 및 n-형 반도체 재료 사이에 삽입된 p-i-n 다이오드들을 포함한다.
대부분의 바람직한 실시예들에 있어서, 접합 다이오드는 제 1도전형의 고농도로 도핑된 하부 영역 및 제 2 도전형의 고농도로 도핑된 상부 영역을 포함하며, 제 1도전형은 제 2 도전형과 반대이다. 상부 및 하부 영역들 사이의 중간 영역은 제 1 또는 제 2 도전형의 진성 또는 약하게 도핑된 영역이다. 이러한 다이오드는 p-i-n 다이오드로서 기술될 수 있다.
이러한 예에서, 고농도로 도핑된 하부 영역(112)은 고농도로 도핑된 n-형 게르마늄이다. 대부분의 바람직한 실시예에 있어서, 고농도로 도핑된 영역(112)은 증착되어, 비록 도핑 대신에 이온 주입이 수행될지라도 임의의 종래의 방법, 바람직하게 인 시튜 도핑에 의하여 인과 같은 n-형 도펀트로 도핑된다. 이러한 층은 바람직하게 약 200 내지 약 800 옹스트롬 두께를 가진다.
다음에, 다이오드의 나머지를 형성하는 게르마늄이 증착된다. 일부 실시예들에 있어서, 다음 평탄화 단계는 일부 게르마늄을 제거하며 그 결과 초과 두께가 증착된다. 만일 평탄화 단계가 종래의 CMP 방법을 사용하여 수행되면, 약 800 옹 스트롬 두께가 손실될 수 있다(이는 평균이며, 두께는 웨이퍼를 가로질러 변화하며, 슬러리 및 CMP 동안 사용된 방법에 따르면 게르마늄 손실은 크거나 작을 수 있다). 만일 평탄화 단계가 에치백 방법에 의하여 수행되면, 단지 약 400 옹스트롬 이하의 게르마늄이 제거될 수 있다. 사용될 평탄화 방법에 따르면, 비도핑 게르마늄(114)의 약 800 내지 약 4000 옹스트롬, 바람직하게 약 1500 내지 약 2500 옹스트롬, 더 바람직하게 약 1800 내지 약 2200 옹스트롬의 적정 최종 두께는 임의의 종래의 방법에 의하여 증착된다. 만일 적절하면, 게르마늄 층(114)이 약하게 도핑될 수 있다. 고농도로 도핑된 상부 영역(116)은 이후 주입 단계에서 형성되나 이 때에는 아직 존재하지 않으며, 따라서 도 12b에 도시되지 않는다.
방금 증착된 게르마늄은 필러들(300)을 형성하기 위하여 패터닝 및 에칭될 것이다. 필러들(300)은 각각의 필러(300)가 컨덕터(200)의 상부에 형성되도록 아래의 컨덕터들(200)과 대략 동일한 피치 및 대략 동일한 폭을 가져야 한다. 일부 비정렬은 허용될 수 있다.
필러들(300)은 임의의 적절한 마스킹 및 에칭 공정을 사용하여 형성될 수 있다. 예컨대, 포토레지스트는 증착되고 표준 포토리소그라피 기술들을 사용하여 패터닝된후 에칭되며, 그 다음에 프토레지스트가 제거된다. 선택적으로, 일부 다른 재료, 예컨대 이산화실리콘의 하드 마스크는 하부 무반사 코팅(BARC)이 수행되면서 반도체층 스택 상부에 형성될 수 있으며, 그 다음에 패터닝되고 에칭될 수 있다. 유사하게, 유전체 무반사 코팅(DARC)은 하드 마스크로서 사용될 수 있다.
"교번 위상 시프팅을 사용하는 내부 비프린팅 윈도우를 가진 포토마스크 피 처들"이라는 명칭으로 2003년 12월 5일에 출원된 Chen의 미국출원번호 10/728,436, 또는 "크롬리스 비프린팅 시프팅 윈도우를 가진 포토마스크 피처들"이라는 명칭으로 2004년 4월 1일에 출원된 Chen의 미국출원번호 10/815,312에 개시된 포토리소그라피 기술들은 본 발명에 따른 메모리 어레이의 형성에 사용되는 임의의 포토리소그라피 단계를 수행하기 위하여 사용될 수 있으며, 이 출원들 둘 다는 본 발명의 양수인에게 양도되고 여기에 참조문헌으로서 통합된다.
요약하면, 필러들(300)은 실질적으로 평면형 표면 위에 게르마늄 또는 게르마늄 합금층 스택을 증착하는 단계, 및 제 1 필러들을 형성하기 위하여 층 스택을 패터닝 및 에칭하는 단계를 포함하는 방법에 의하여 형성된다.
유전체 재료(108)는 필러들(300) 위에 그리고 필러들(300) 사이에 증착되어 필러들(300) 사이의 갭들을 충진한다. 유전체 재료(108)는 실리콘 산화물, 실리콘 질화물 또는 실리콘 옥시니트라이드와 같은 임의의 공지된 전기 절연 재료일 수 있다. 바람직한 실시예에 있어서, 이산화실리콘은 절연 재료로서 사용된다. 이산화실리콘은 CVD 또는 HDPCVD와 같은 임의의 공지된 공정을 사용하여 증착될 수 있다.
다음에, 필러들(300)의 상부의 유전체 재료는 제거되어 유전체 재료(108)에 의하여 분리된 필러들(300)의 상부를 노출시키며 실질적으로 평면형 표면을 남긴다. 이러한 유전체 오버필의 제거 및 평탄화는 CMP 또는 에치백과 같은 공지된 임의의 공정에 의하여 수행될 수 있다. 예컨대, Raghuram 등에 기술된 에치백 기술이 사용될 수 있다. 결과적인 구조는 도 4b에 도시된다.
도 4c를 참조하면, 바람직한 실시예에 있어서, 고농도로 도핑된 상부 영역 들(116)은 p-형 도펀트, 예컨대 붕소 또는 BF2를 사용한 이온 주입에 의하여 이 시점에 형성된다. 여기에 기술된 다이오드는 하부 n-형 영역 및 상부 p-형 영역을 가진다. 만일 적절하면, 도전형들은 반전될 수 있다. 만일 적절하면, 하부에서 n-영역을 가진 p-i-n 다이오드들은 하나의 메모리 레벨에서 사용될 수 있는 반면에, 하부에서 p-형 영역을 가진 p-i-n 다이오드들은 다른 메모리 레벨에서 사용될 수 있다.
필러들(300)에 위치한 다이오드들은 제 1컨덕터들 및 유전체 필 위에 반도체층 스택을 증착하는 단계, 및 제 1 다이오드들을 형성하기 위하여 반도체층을 패터닝 및 에칭하는 단계를 포함하는 방법에 의하여 형성된다.
만일 유전체 파열 안티퓨즈(118)가 포함되면, 유전체 파열 안티퓨즈(118)는 적절한 유전체 재료의 임의의 저온 증착에 의하여 형성될 수 있다. 예컨대, Al2O3의 층은 약 150℃로 증착될 수 있다. 선택적으로, 안티퓨즈는 저온 공정을 사용하여 형성된 액상 증착 이산화실리콘일 수 있다. 적절한 방법들은 Nishiguchi et al. in "High quality SiO2 film formation by highly concentrated ozone gas at below 600℃", Applied Physics Letters 81, pp 2190-2192(2002) 및 Hsu et al. in "Growth and electrical characteristics of liquid-phase deposited SiO2 on Ge, "Electrochemical and Solid State Letters 6, pp. F9-F11(2003)에 개시되어 있다. 다른 대안 방법들은 저온 방법에 의하여 형성된 질화물 또는 옥시니트라이드를 포함한다. 유전체 파열 안티퓨즈(118)는 약 20 내지 약 80 옹스트롬 두께, 바람직하 게 약 50 옹스트롬 두께를 가진다. 일부 실시예들에서는 유전체 파열 안티퓨즈(118)는 생략될 수 있다.
다음에, 도전 재료 또는 스택은 상부 컨덕터들(400)을 형성하기 위하여 증착된다. 바람직한 실시예에 있어서, 티타늄 질화물 장벽층(120)이 증착된 다음에 알루미늄층(122) 및 상부 티타늄 질화물 장벽층(124)이 증착된다. 상부 컨덕터들(400)은 앞서 기술된 바와 같이 패터닝 및 에칭될 수 있다. 제 2 컨덕터들(400)은 바람직하게 제 1 컨덕터들(200)로부터, 바람직하게 제 1 컨덕터들(200)에 실질적으로 수직하게 다른 방향으로 연장할 것이다. 도 4c에 도시된 결과적인 구조는 메모리 셀들의 하부 또는 제 1스토리이다. 이상적으로, 각각의 상부 컨덕터(400)는 필러들(300)의 행과 직접 정렬되도록 형성된다. 일부 비정렬이 허용될 수 있다. 각각의 메모리 레벨은 하부 컨덕터들(200), 필러들(300) 및 상부 컨덕터들(400)을 포함한다. 하부 컨덕터들(200)은 제 1 방향과 실질적으로 평행하게 연장되며, 상부 컨덕터들(400)은 제 1 방향과 다른 제 2 방향과 실질적으로 평행하게 연장된다.
각각의 메모리 셀에 대한 이러한 메모리 레벨에서 하부 컨덕터, 필러 및 상부 컨덕터가 개별 패터닝 단계에서 각각 패터닝된다는 것에 유의해야 한다.
부가 메모리 레벨들은 제 1 메모리 레벨 위에 형성될 수 있다. 일부 실시예들에서, 컨덕터들은 메모리 레벨들 사이에서 공유될 수 있으며, 즉 상부 컨덕터(400)는 다음 메모리 레벨의 하부 컨덕터로서 사용된다. 다른 실시예들에 있어서, 인터레벨 유전체(도시안됨)는 도 4c의 제 1 메모리 레벨 위에 형성되며, 제 2 메모리 레벨의 평탄화된 표면 및 구성은 컨덕터들을 공유하지 않으면서 평탄화된 인터레벨 유전체 상에서 시작된다.
기술된 바와 같이, 증착된 게르마늄은 도핑되지 않거나 또는 n-형 도펀트들로 도핑될때 그리고 저온에서 증착될 때 일반적으로 비결정질 재료일 것이다. 메모리 레벨들의 모두가 구성된 후에, 예컨대 약 350 내지 약 450 ℃에서 수행되는 최종 저온 어닐은 게르마늄 다이오드들을 결정화하기 위하여 수행될 수 있으며 이러한 실시예에서 결과적인 다이오드들은 폴리게르마늄으로 형성될 것이다. 웨이퍼들의 큰 배치(batch)들, 예컨대 25 웨이퍼들 또는 그 이상의 웨이퍼들은 동시에 어닐링되어 양호한 스루폿이 유지될 수 있다.
메모리 레벨들 사이 그리고 기판의 회로소자들 사이의 수직 상호접속부들은 바람직하게 임의의 종래의 방법에 의하여 형성될 수 있는 텅스텐 플러그들로서 형성된다.
포토마스크들은 각각의 층을 패터닝하기 위하여 포토리소그라피동안 사용된다. 임의의 층들은 각각의 메모리 레벨에서 반복되며, 이들 층들을 형성하기 위하여 사용된 포토마스크들은 재사용될 수 있다. 예컨대, 도 4c의 필러들(300)을 한정하는 각각의 포토마스크는 각각의 메모리 레벨에 대하여 재사용될 수 있다. 각각의 포토마스크는 기준 마크들을 포함하며, 기준 마크들은 포토마스크를 정렬하기 위하여 사용된다. 포토마스크가 재사용될 때, 제 2 또는 다음 용도로 형성된 기준 마크들은 동일한 포토마스크의 우선적인 사용 동안 형성된 동일한 기준 마크들을 간섭할 수 있다. "수직 구조로 포토마스크들을 재사용가능하게 하는 정렬 마크들 및 반복 오버레이 마스킹"이라는 명칭으로 2005년 3월 31일에 출원된 Chen 등의 미국출원번호 11/097,496은 본 발명의 어레이와 유사한 모놀리딕 3차원 메모리 어레이의 형성 동안 간섭을 방지하는 방법을 개시하고 있으며, 이 출원은 본 발명의 양수인에게 양도되었으며 여기에 참조문헌으로서 통합된다.
예: 구리 컨덕터들
도 5a를 참조하면, 본 실시예에서는 이전 실시예에서 기술된 오버 기판(100) 및 절연층(102) 전에서처럼 제조가 시작된다.
바람직한 실시예들에 있어서, 예컨대 실리콘 질화물의 두꺼운 층(201)은 절연층(102)상에 증착된다. 이러한 층은 미래의 다마신 에칭동안 에칭 중지층으로서 사용될 것이다.
다음에, 유전체의 두꺼운 층(202), 예컨대 TEOS가 증착된다. 이의 두께는 약 1000 내지 6000 옹스트롬, 바람직하게 4000 옹스트롬일 수 있다. 종래의 다마신 에치는 실질적으로 평행한 트렌치들(204)을 에칭하기 위하여 수행된다. 에칭은 실리콘 질화물층(201) 상에서 중지된다. 예컨대 탄탈 질화물, 탄탈, 텅스텐, 텅스텐 질화물, 티타늄 질화물 또는 임의 적절한 재료의 장벽층(206)은 유전체층(202) 및 라이닝(lining) 트렌치들(204)을 커버하면서 등각 증착된다.
도 5b에 도시된 바와 같이, 다음 구리층(208)은 장벽층(206) 상에 증착되어 트렌치들(204)을 충진한다. 구리층(208)은 구리의 합금이 적절한 경우에 사용될 수 있을지라도 바람직하게 순 구리이다. 예컨대 CMP에 의하여 평탄화 단계는 구리(208)의 오버필을 제거하여 구리(208) 및 유전체(202) 뿐만 아니라 장벽재 료(206)를 실질적으로 평면형 표면으로 동시에 노출시킨다. 하부 컨덕터들(200)이 형성되었다. 하부 컨덕터들(200)의 피치는 이전 실시예에 기술된 바와 같을 수 있다.
요약하면, 하부 컨덕터들(200)은 제 1 유전체 재료를 증착하는 단계; 유전체 재료에서 다수의 실질적으로 평면형 트렌치들을 에칭하는 단계; 제 1 유전체 재료 위에 구리를 증착하고 트렌치들을 충진하는 단계; 및 구리의 오버필을 제거하고 실질적으로 평면형 표면을 형성하도록 평탄화하여 제 1 하부 컨덕터들 및 제 1 유전체 재료를 동시에 노출시키는 단계에 의하여 형성된다.
도 5c를 참조하면, 도전 장벽층(210)은 평면 표면상에 증착된다. 이러한 장벽층은 바람직하게 일부 다른 적절한 재료가 사용될 수 있을지라도 탄탈 질화물 또는 탄탈이다.
다음에, 다이오드들을 형성하기 위하여 에칭되는 게르마늄 또는 게르마늄 합금 층 스택이 이전 실시예에서처럼 증착되며 고농도로 도핑된 n-형 게르마늄층(112) 및 비도핑 게르마늄층(114)을 포함한다. 게르마늄 또는 이전에 언급된 게르마늄 합금들의 일부가 사용될 수 있다. 이전 실시예에서처럼, 고농도로 도핑된 p-형 게르마늄층(116)은 후기 주입 단계에 의하여 도핑되어 아직 형성되지 않으며 결국 도 5c에 도시되지 않는다.
방금 증착된 게르마늄은 필러들(300)을 형성하기 위하여 패터닝 및 에칭될 것이다. 탄탈 질화물 장벽층(208)은 또한 에칭되어 필러들 사이에서 노출된 구리층(208)을 남길 것이다. 필러들(300)은 아래의 컨덕터들(200)과 약 동일한 피치 및 약 동일한 폭을 가져야 한다. 그렇게 함으로써, 각각의 필러들(300)은 컨덕터(200)의 상부에 형성된다.
일반적으로, 구리는 다른 재료들로의 확산을 방지하기 위하여 캡슐화되어야 한다. 적절한 유전체 장벽 재료, 예컨대 실리콘 카바이드, 실리콘 질화물, Si-C-O-H 막, 또는 임의의 다른 높은-K 유전체의 박막층(212)은 컨덕터들(200)에서 유전체(202)를 커버하여 구리(208)를 캡슐화하도록 증착되어야 한다. 실리콘 카바이드 장벽 유전체(212)는 필러들(300)의 상부들을 커버할 것이며, 재료의 단계 커버리지에 따라 필러들(300)의 측벽들을 커버할 수 있다. 산화물(108) 또는 다른 적절한 갭 필 재료는 예컨대 HDPCVD에 의하여 증착되어 필러들(300) 사이의 갭들을 충진한다. 유전체층(108)은 필러들(300)의 상부 이상으로 충진된다.
다음에, 필러들(300)의 상부에 있는 유전체 재료는 제거되어 유전체 재료(108)에 의하여 분리된 필러들(300)의 상부에 있는 실리콘 카바이드 장벽 유전체(212)의 상부들을 노출시키면서 실질적으로 평면형 표면을 남긴다. 이러한 유전체 오버필의 제거 및 평탄화는 CMP 또는 에치백과 같은 공지된 임의의 공정에 의하여 수행될 수 있다. 예컨대, Raghuram 등에 기술된 에치백 기술들이 사용될 수 있다. 다음에, 실리콘 질화물 에칭 중지층(213)은 평면형 표면상에 증착된다. 결과적인 구조는 도 5c에 도시된다.
도 5d는 라인 A-A'을 따라 도 5c에 수직하다. 도 5d를 참조하면, 유전체 재료(214)는 실리콘 질화물 에칭 중지층(213) 상에 증착되며, 유전체 재료의 두께는 하부 컨덕터들(200)이 형성된 유전체(202)의 두께와 바람직하게 유사할 수 있다. 다음 트렌치들은 유전체(214)에서 에칭된다. 에칭은 실리콘 질화물 에칭 중지층(214)에서 중지될 것이다. 저속 에칭은 제 1 실리콘 질화물층(214)을 제거한 후 실리콘 카바이드층(212)을 제거하여 필러들(300)의 상부들을 노출시킨다. 붕소 또는 BF2와 같은 p-형 도펀트의 이온주입은 바람직하게 이 지점에서 수행되며 고농도로 도핑된 p-형 영역들(116)을 형성한다.
다음에, 유전체 파열 안티퓨즈(218)는 바람직하게 Al2O3의 원자층 증착에 의하여 형성되어 트렌치들을 등각 충진한다. 이전 실시예에 기술된 유전체 파열 안티퓨즈(218)를 형성하기 위한 대안 방법들이 대신에 사용될 수 있다. 유전체 파열층(218)은 바람직하게 약 15 내지 약 80 옹스트롬 두께, 바람직하게 약 50 옹스트롬 두께를 가진다. 일부 실시예들에서는 유전체 파열 안티퓨즈(218)는 생략될 수 있다.
상부 컨덕터들(400)은 하부 컨덕터들(200)과 동일한 방식으로 형성된다. 탄탈 질화물의 장벽층(220)은 트렌치들을 정렬시키며, 구리층(222)은 트렌치들을 충진한다. 예컨대 CMP에 의한 평탄화 단계는 구리의 오버필을 제거하여 상부 컨덕터들(400)을 형성하고 실질적으로 평면형 표면을 생성한다. 만일 인터레벨 유전체가 이러한 메모리 레벨 및 다음 메모리 레벨 사이에 형성되면, 예컨대 실리콘 카바이드의 유전체 장벽층(224)은 구리층(222)을 캡슐화하기 위하여 실질적으로 평면형 표면상에 증착될 수 있다.
만일 다음 메모리 레벨이 상부 컨덕터들(400)을 공유하면, 즉 만일 상부 컨 덕터들(400)이 다음 메모리 레벨의 하부 컨덕터들로서 사용되면, 탄탈 질화물과 같은 도전형 질화물 장벽층은 실질적으로 평면형 표면상에 증착될 수 있다(도시안됨). 다음에 필러들의 다음 세트를 형성하기 위한 게르마늄 스택이 증착되며, 제조단계는 필러들(300)과 관련하여 기술된 바와 같이 계속되며, 즉 도전 장벽층이 필러들과 함께 에칭되고 필러들 및 구리 위에 등각형 높은-K 장벽 유전체가 증착되는 것처럼 계속된다.
메모리 레벨들 사이 그리고 기판의 회로소자들 사이의 수직 상호접속부들은 바람직하게 종래의 듀얼 다마신 공정으로 구리로 형성된다.
기술된 두개의 실시예들의 각각 및 여기에 기술된 다른 설명들은 모놀리딕 3차원 메모리 어레이를 형성하기 위한 방법을 개시하고 있으며, 본 방법은 반도체 재료를 각각 가진 다수의 제 1 메모리 셀을 포함하는 제 1 메모리 레벨을 기판 위에 형성하는 단계 및 제 1 메모리 레벨 위에 제 2 메모리 레벨을 모놀리딕식으로 형성하는 단계를 포함하며, 모놀리딕 3차원 메모리 어레이의 형성 동안 처리 온도는 약 500 ℃를 초과하지 않는다. 선택된 결정화 온도 및 어닐 시간에 따르면, 어레이의 형성 동안 처리 온도는 약 475, 450, 425, 400, 375 또는 약 350 ℃를 초과하지 않을 것이다.
특히, 본 발명은 제 1 메모리 레벨을 형성하기 위한 방법이며, 본 방법은 제 1방향으로 연장하며 구리 또는 알루미늄을 포함하는 다수의 제 1 실질적으로 평행한 실질적으로 공면형 레일-형상 하부 컨덕터들을 형성하는 단계; 게르마늄 또는 게르마늄 합금을 포함하는 다수의 제 1 다이오드들을 제 1 하부 컨덕터들 위에 형 성하는 단계; 및 제 1 다이오드들 위에 다수의 제 1 실질적으로 평행한 실질적으로 공면형 레일-형상 상부 컨덕터들을 형성하는 단계를 포함하며, 제 1 상부 컨덕터들은 제 1 방향과 다른 제 2 방향으로 연장되며, 제 1 상부 컨덕터들은 구리 또는 알루미늄을 포함하며, 제 1 메모리 레벨의 형성 동안 처리 온도는 500 ℃ 또는 언급된 다른 하부 온도의 일부를 초과하지 않는다.
실리콘 다이오드들 또는 임의의 다른 다결정 다이오드들과 비교할 때 본 발명에 사용하기 위하여 기술된 다결정 게르마늄 또는 게르마늄 풍부 합금으로 형성된 수직 방향 p-i-n 다이오드는 인가된 판독 전압에 대하여 비교적 고전류가 흐르도록 한다. 예컨대, 약 1볼트의 판독 전압이 본 발명에 따라 형성된 메모리의 상부 및 하부 컨덕터들 사이에 공급될 때, 프로그래밍된 셀(안티퓨즈가 파열되고 저저항 도전 경로가 다이오드를 통해 형성되는)에서는 약 100 마이크로암페어보다 큰 전류가 흐를 것으로 예상된다. 예컨대, 약 1볼트의 판독전압이 공급될 때, 전류 흐름은 약 100 마이크로암페어 내지 1 밀리암페어일 수 있다.
모놀리딕 3차원 메모리 어레이는 다중 메모리 레벨들이 중간 기판을 가지지 않은 웨이퍼와 같은 단일 기판 위에 형성되는 어레이이다. 하나의 메모리 레벨을 형성하는 층들은 기준 레벨 또는 레벨들의 층들 바로 위에서 증착 또는 성장된다. 대조적으로, 스택형 메모리들은, "3차원 구조 메모리"라는 명칭을 가진 Leedy의 미국특허번호 5,915,167에 개시된 바와 같이 개별 기판들 상에 메모리 레벨들을 형성하고 메모리 레벨들을 서로 접착시킴으로서 구성되었다. 기판은 본딩 전에 메모리 레벨들로부터 얇게 되거나 또는 제거될 수 있으나, 메모리 레벨이 개별 기판들 위 에 초기에 형성될 때 이러한 메모리들은 모놀리딕 3차원 메모리 어레이들이 아니다.
기판 위에 형성된 모놀리딕 3차원 메모리 어레이는 기판 위에서 제 1높이로 형성된 적어도 제 1 메모리 레벨 및 제 1 높이와 다른 제 2 높이로 형성된 제 2 메모리 레벨을 포함한다. 3, 4, 8개 또는 임의의 수의 메모리 레벨들은 멀티레벨 어레이로 기판 위에 형성될 수 있다.
본 발명의 비휘발성 원-타임 프로그램가능 메모리 셀은 모놀리딕 3차원 메모리 어레이와 관련하여 기술되나, 낮은 제조온도를 필요로 하는 임의의 다른 환경, 예컨대 임의의 저온 기판에 대하여 유리하다.
상세한 제조방법들이 여기에 기술되었으나 동일한 기판을 형성하는 임의의 다른 방법들이 사용될 수 있으며 이의 결과들은 본 발명의 범위 내에 있다.
전술한 상세한 설명은 본 발명이 취할 수 있는 많은 형식들 중 일부만을 기술하였다. 이러한 이유로 인하여, 이러한 상세한 설명은 본 발명을 제한하는 것이 아니라 예시적으로 기술된다. 본 발명은 모든 균등물들을 포함하며 본 발명의 범위를 한정하도록 의도된 이하의 청구범위에 의해서만 제한된다.

Claims (70)

  1. 모놀리딕 3차원 메모리 어레이를 형성하기 위한 방법으로서,
    다수의 제 1 메모리 셀들을 포함하는 제 1 메모리 레벨을 기판 위에 형성하는 단계로서, 상기 제 1 메모리 셀들 각각은 다결정 반도체 재료를 각각 포함한 다이오드 및 유전체 파열 안티퓨즈를 포함하며, 상기 다결정 반도체 재료는 게르마늄 또는 게르마늄 합금인 것을 특징으로 하는, 제 1 메모리 레벨을 기판 위에 형성하는 단계; 및
    상기 제 1 메모리 레벨 위에 제 2 메모리 레벨을 모놀리딕식으로 형성하는 단계를 포함하며;
    상기 모놀리딕 3차원 메모리 어레이의 형성 동안, 상기 어레이 형성 동안의 처리 온도는 500℃를 초과하지 않는, 모놀리딕 3차원 메모리 어레이 형성 방법.
  2. 제 1항에 있어서, 상기 처리 온도는 450℃를 초과하지 않는, 모놀리딕 3차원 메모리 어레이 형성 방법.
  3. 제 1항에 있어서, 상기 처리 온도는 400℃를 초과하지 않는, 모놀리딕 3차원 메모리 어레이 형성 방법.
  4. 제 1항에 있어서, 상기 처리 온도는 375℃를 초과하지 않는, 모놀리딕 3차원 메모리 어레이 형성 방법.
  5. 제 1항에 있어서, 상기 처리 온도는 350℃을 초과하지 않는, 모놀리딕 3차원 메모리 어레이 형성 방법.
  6. 제 1항에 있어서, 상기 기판은 단결정 실리콘인, 모놀리딕 3차원 메모리 어레이 형성 방법.
  7. 제 1항에 있어서,
    상기 다이오드 각각은 p-i-n 다이오드를 포함하는,
    모놀리딕 3차원 메모리 어레이 형성 방법.
  8. 제 1항에 있어서,
    상기 다결정 반도체 재료는
    적어도 20 원자 퍼센트 게르마늄인, 게르마늄 합금인,
    모놀리딕 3차원 메모리 어레이 형성 방법.
  9. 제 8항에 있어서,
    상기 다결정 반도체 재료는
    적어도 50 원자 퍼센트 게르마늄인, 게르마늄 합금인,
    모놀리딕 3차원 메모리 어레이 형성 방법.
  10. 삭제
  11. 제 1항에 있어서, 상기 유전체 파열 안티퓨즈는 산화물, 질화물 또는 옥시니트라이드 층을 포함하는, 모놀리딕 3차원 메모리 어레이 형성 방법.
  12. 제 1항에 있어서, 상기 제 1 메모리 레벨은 제 1의 다수의 하부 컨덕터들 및 제 1의 다수의 상부 컨덕터들을 포함하며, 상기 제 1 하부 또는 상기 제 1 상부 컨덕터들은 알루미늄 또는 구리를 포함하는, 모놀리딕 3차원 메모리 어레이 형성 방법.
  13. 제 1항에 있어서, 상기 반도체는 제 1도전형을 가진 제 1 도핑된 반도체 재료 및 제 2 도전형을 가진 제 2 도핑된 반도체 재료를 포함하는, 모놀리딕 3차원 메모리 어레이 형성 방법.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
KR1020077027839A 2005-05-09 2006-05-05 저온으로 제조되고 반도체 다이오드를 포함하는 고밀도비휘발성 메모리 어레이 KR101287015B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/125,606 2005-05-09
US11/125,606 US20060249753A1 (en) 2005-05-09 2005-05-09 High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
PCT/US2006/017525 WO2006121924A2 (en) 2005-05-09 2006-05-05 High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127017783A Division KR20120087189A (ko) 2005-05-09 2006-05-05 저온으로 제조되고 반도체 다이오드를 포함하는 고밀도 비휘발성 메모리 어레이

Publications (2)

Publication Number Publication Date
KR20080022085A KR20080022085A (ko) 2008-03-10
KR101287015B1 true KR101287015B1 (ko) 2013-07-17

Family

ID=36922209

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127017783A KR20120087189A (ko) 2005-05-09 2006-05-05 저온으로 제조되고 반도체 다이오드를 포함하는 고밀도 비휘발성 메모리 어레이
KR1020077027839A KR101287015B1 (ko) 2005-05-09 2006-05-05 저온으로 제조되고 반도체 다이오드를 포함하는 고밀도비휘발성 메모리 어레이

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127017783A KR20120087189A (ko) 2005-05-09 2006-05-05 저온으로 제조되고 반도체 다이오드를 포함하는 고밀도 비휘발성 메모리 어레이

Country Status (6)

Country Link
US (1) US20060249753A1 (ko)
EP (1) EP1883963A2 (ko)
JP (1) JP5139269B2 (ko)
KR (2) KR20120087189A (ko)
CN (1) CN101297402B (ko)
WO (1) WO2006121924A2 (ko)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
JP2006511965A (ja) 2002-12-19 2006-04-06 マトリックス セミコンダクター インコーポレイテッド 高密度不揮発性メモリを製作するための改良された方法
US8637366B2 (en) 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US7767499B2 (en) 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US7285464B2 (en) 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US7682920B2 (en) * 2003-12-03 2010-03-23 Sandisk 3D Llc Method for making a p-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US8018024B2 (en) * 2003-12-03 2011-09-13 Sandisk 3D Llc P-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US8482052B2 (en) * 2005-01-03 2013-07-09 Macronix International Co., Ltd. Silicon on insulator and thin film transistor bandgap engineered split gate memory
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US7453755B2 (en) * 2005-07-01 2008-11-18 Sandisk 3D Llc Memory cell with high-K antifuse for reverse bias programming
US20070069241A1 (en) * 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
US7816659B2 (en) 2005-11-23 2010-10-19 Sandisk 3D Llc Devices having reversible resistivity-switching metal oxide or nitride layer with added metal
US7468296B1 (en) * 2005-11-30 2008-12-23 Spansion Llc Thin film germanium diode with low reverse breakdown
US7575984B2 (en) * 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
JP4577695B2 (ja) * 2006-11-07 2010-11-10 エルピーダメモリ株式会社 半導体記憶装置及び半導体記憶装置の製造方法
US7586773B2 (en) 2007-03-27 2009-09-08 Sandisk 3D Llc Large array of upward pointing p-i-n diodes having large and uniform current
US7629253B2 (en) * 2007-03-30 2009-12-08 Sandisk 3D Llc Method for implementing diffusion barrier in 3D memory
US8124971B2 (en) * 2007-03-30 2012-02-28 Sandisk 3D Llc Implementation of diffusion barrier in 3D memory
US8987702B2 (en) * 2007-05-01 2015-03-24 Micron Technology, Inc. Selectively conducting devices, diode constructions, constructions, and diode forming methods
US8487450B2 (en) * 2007-05-01 2013-07-16 Micron Technology, Inc. Semiconductor constructions comprising vertically-stacked memory units that include diodes utilizing at least two different dielectric materials, and electronic systems
US20080315206A1 (en) * 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7537968B2 (en) * 2007-06-19 2009-05-26 Sandisk 3D Llc Junction diode with reduced reverse current
US8349663B2 (en) * 2007-09-28 2013-01-08 Sandisk 3D Llc Vertical diode based memory cells having a lowered programming voltage and methods of forming the same
US20090086521A1 (en) * 2007-09-28 2009-04-02 Herner S Brad Multiple antifuse memory cells and methods to form, program, and sense the same
US7906392B2 (en) 2008-01-15 2011-03-15 Sandisk 3D Llc Pillar devices and methods of making thereof
JP5305711B2 (ja) * 2008-03-31 2013-10-02 株式会社東芝 不揮発性記憶装置及びその製造方法
US8134194B2 (en) * 2008-05-22 2012-03-13 Micron Technology, Inc. Memory cells, memory cell constructions, and memory cell programming methods
US8120951B2 (en) 2008-05-22 2012-02-21 Micron Technology, Inc. Memory devices, memory device constructions, constructions, memory device forming methods, current conducting devices, and memory cell programming methods
CN101621035B (zh) * 2008-07-02 2011-08-17 中芯国际集成电路制造(上海)有限公司 具有otp功能的非晶硅monos或mas存储单元结构
US7579232B1 (en) 2008-07-11 2009-08-25 Sandisk 3D Llc Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
US8193074B2 (en) * 2008-11-21 2012-06-05 Sandisk 3D Llc Integration of damascene type diodes and conductive wires for memory device
US20100283053A1 (en) * 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US20110151617A1 (en) * 2009-12-18 2011-06-23 Unity Semiconductor Corporation Memory and methods of forming the same to enhance scalability of non-volatile two-terminal memory cells
KR20110074354A (ko) 2009-12-24 2011-06-30 삼성전자주식회사 메모리소자 및 그 동작방법
US8431492B2 (en) 2010-02-02 2013-04-30 Sandisk 3D Llc Memory cell that includes a sidewall collar for pillar isolation and methods of forming the same
KR101171256B1 (ko) * 2010-12-15 2012-08-07 에스케이하이닉스 주식회사 저항 소자를 구비하는 반도체 메모리 장치
US8866121B2 (en) 2011-07-29 2014-10-21 Sandisk 3D Llc Current-limiting layer and a current-reducing layer in a memory device
US8659001B2 (en) 2011-09-01 2014-02-25 Sandisk 3D Llc Defect gradient to boost nonvolatile memory performance
US9287498B2 (en) * 2011-09-14 2016-03-15 Intel Corporation Dielectric thin film on electrodes for resistance change memory devices
US8637413B2 (en) 2011-12-02 2014-01-28 Sandisk 3D Llc Nonvolatile resistive memory element with a passivated switching layer
CN102431963B (zh) * 2011-12-15 2015-04-01 中国科学院上海微系统与信息技术研究所 低温下砷化镓图像传感器圆片级芯片尺寸封装工艺
US8698119B2 (en) 2012-01-19 2014-04-15 Sandisk 3D Llc Nonvolatile memory device using a tunnel oxide as a current limiter element
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
US8711603B2 (en) * 2012-05-11 2014-04-29 Micron Technology, Inc. Permutational memory cells
US20140241031A1 (en) 2013-02-28 2014-08-28 Sandisk 3D Llc Dielectric-based memory cells having multi-level one-time programmable and bi-level rewriteable operating modes and methods of forming the same
CN104659014B (zh) * 2013-11-20 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种反熔丝结构、半导体器件和硅通孔的修复方法
US9864138B2 (en) 2015-01-05 2018-01-09 The Research Foundation For The State University Of New York Integrated photonics including germanium
US10976491B2 (en) 2016-11-23 2021-04-13 The Research Foundation For The State University Of New York Photonics interposer optoelectronics
US10698156B2 (en) 2017-04-27 2020-06-30 The Research Foundation For The State University Of New York Wafer scale bonded active photonics interposer
KR20220124298A (ko) 2018-04-04 2022-09-14 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 집적 포토닉스 플랫폼 상의 이종 구조
US10816724B2 (en) 2018-04-05 2020-10-27 The Research Foundation For The State University Of New York Fabricating photonics structure light signal transmission regions
US11550099B2 (en) 2018-11-21 2023-01-10 The Research Foundation For The State University Of New York Photonics optoelectrical system
US11029466B2 (en) 2018-11-21 2021-06-08 The Research Foundation For The State University Of New York Photonics structure with integrated laser

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634929A (en) * 1968-11-02 1972-01-18 Tokyo Shibaura Electric Co Method of manufacturing semiconductor integrated circuits
US3582908A (en) * 1969-03-10 1971-06-01 Bell Telephone Labor Inc Writing a read-only memory while protecting nonselected elements
US3576549A (en) * 1969-04-14 1971-04-27 Cogar Corp Semiconductor device, method, and memory array
US3671948A (en) * 1970-09-25 1972-06-20 North American Rockwell Read-only memory
FR2134172B1 (ko) * 1971-04-23 1977-03-18 Radiotechnique Compelec
US3717852A (en) * 1971-09-17 1973-02-20 Ibm Electronically rewritable read-only memory using via connections
US3728695A (en) * 1971-10-06 1973-04-17 Intel Corp Random-access floating gate mos memory array
US3990098A (en) * 1972-12-22 1976-11-02 E. I. Du Pont De Nemours And Co. Structure capable of forming a diode and associated conductive path
US3863231A (en) * 1973-07-23 1975-01-28 Nat Res Dev Read only memory with annular fuse links
JPS5267532A (en) * 1975-12-03 1977-06-04 Nippon Telegr & Teleph Corp <Ntt> Semiconductor memory unit
CA1135854A (en) * 1977-09-30 1982-11-16 Michel Moussie Programmable read only memory cell
US4203123A (en) * 1977-12-12 1980-05-13 Burroughs Corporation Thin film memory device employing amorphous semiconductor materials
US4203158A (en) * 1978-02-24 1980-05-13 Intel Corporation Electrically programmable and erasable MOS floating gate memory device employing tunneling and method of fabricating same
US4281397A (en) * 1979-10-29 1981-07-28 Texas Instruments Incorporated Virtual ground MOS EPROM or ROM matrix
US4419741A (en) * 1980-01-28 1983-12-06 Rca Corporation Read only memory (ROM) having high density memory array with on pitch decoder circuitry
US4499557A (en) * 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4420766A (en) * 1981-02-09 1983-12-13 Harris Corporation Reversibly programmable polycrystalline silicon memory element
US4442507A (en) * 1981-02-23 1984-04-10 Burroughs Corporation Electrically programmable read-only memory stacked above a semiconductor substrate
US4507757A (en) * 1982-03-23 1985-03-26 Texas Instruments Incorporated Avalanche fuse element in programmable memory
US4543594A (en) * 1982-09-07 1985-09-24 Intel Corporation Fusible link employing capacitor structure
US4569121A (en) * 1983-03-07 1986-02-11 Signetics Corporation Method of fabricating a programmable read-only memory cell incorporating an antifuse utilizing deposition of amorphous semiconductor layer
US4646266A (en) * 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
US4654224A (en) * 1985-02-19 1987-03-31 Energy Conversion Devices, Inc. Method of manufacturing a thermoelectric element
JPS6258673A (ja) * 1985-09-09 1987-03-14 Fujitsu Ltd 半導体記憶装置
US4943538A (en) * 1986-05-09 1990-07-24 Actel Corporation Programmable low impedance anti-fuse element
US4899205A (en) * 1986-05-09 1990-02-06 Actel Corporation Electrically-programmable low-impedance anti-fuse element
US4823181A (en) * 1986-05-09 1989-04-18 Actel Corporation Programmable low impedance anti-fuse element
US4881114A (en) * 1986-05-16 1989-11-14 Actel Corporation Selectively formable vertical diode circuit element
US4876220A (en) * 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
US4820657A (en) * 1987-02-06 1989-04-11 Georgia Tech Research Corporation Method for altering characteristics of junction semiconductor devices
US5070383A (en) * 1989-01-10 1991-12-03 Zoran Corporation Programmable memory matrix employing voltage-variable resistors
US5311039A (en) * 1990-04-24 1994-05-10 Seiko Epson Corporation PROM and ROM memory cells
US5334880A (en) * 1991-04-30 1994-08-02 International Business Machines Corporation Low voltage programmable storage element
US5536968A (en) * 1992-12-18 1996-07-16 At&T Global Information Solutions Company Polysilicon fuse array structure for integrated circuits
US5391518A (en) * 1993-09-24 1995-02-21 Vlsi Technology, Inc. Method of making a field programmable read only memory (ROM) cell using an amorphous silicon fuse with buried contact polysilicon and metal electrodes
US5463244A (en) * 1994-05-26 1995-10-31 Symetrix Corporation Antifuse programmable element using ferroelectric material
US5441907A (en) * 1994-06-27 1995-08-15 Taiwan Semiconductor Manufacturing Company Process for manufacturing a plug-diode mask ROM
US5675547A (en) * 1995-06-01 1997-10-07 Sony Corporation One time programmable read only memory programmed by destruction of insulating layer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
TW306005B (en) * 1996-11-22 1997-05-21 United Microelectronics Corp Decoding method of diode-type read only memory array
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US5936280A (en) * 1997-04-21 1999-08-10 Advanced Micro Devices, Inc. Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices
US6069398A (en) * 1997-08-01 2000-05-30 Advanced Micro Devices, Inc. Thin film resistor and fabrication method thereof
US5888853A (en) * 1997-08-01 1999-03-30 Advanced Micro Devices, Inc. Integrated circuit including a graded grain structure for enhanced transistor formation and fabrication method thereof
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6483736B2 (en) * 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6117725A (en) * 1999-08-11 2000-09-12 Taiwan Semiconductor Manufacturing Company Method for making cost-effective embedded DRAM structures compatible with logic circuit processing
JP2001102523A (ja) * 1999-09-28 2001-04-13 Sony Corp 薄膜デバイスおよびその製造方法
US6624011B1 (en) * 2000-08-14 2003-09-23 Matrix Semiconductor, Inc. Thermal processing for three dimensional circuits
US6611453B2 (en) * 2001-01-24 2003-08-26 Infineon Technologies Ag Self-aligned cross-point MRAM device with aluminum metallization layers
US6638839B2 (en) * 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
US6525953B1 (en) * 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6730553B2 (en) * 2001-08-30 2004-05-04 Micron Technology, Inc. Methods for making semiconductor structures having high-speed areas and high-density areas
JP4162879B2 (ja) * 2001-10-11 2008-10-08 富士通株式会社 半導体装置の製造方法
US6624485B2 (en) * 2001-11-05 2003-09-23 Matrix Semiconductor, Inc. Three-dimensional, mask-programmed read only memory
DE10200399B4 (de) * 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
JP4103497B2 (ja) * 2002-04-18 2008-06-18 ソニー株式会社 記憶装置とその製造方法および使用方法、半導体装置とその製造方法
US6828685B2 (en) * 2002-06-14 2004-12-07 Hewlett-Packard Development Company, L.P. Memory device having a semiconducting polymer film
US6952043B2 (en) * 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
US6870755B2 (en) * 2002-08-02 2005-03-22 Unity Semiconductor Corporation Re-writable memory with non-linear memory element
JP2006511965A (ja) * 2002-12-19 2006-04-06 マトリックス セミコンダクター インコーポレイテッド 高密度不揮発性メモリを製作するための改良された方法
US7285464B2 (en) * 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
JP4575782B2 (ja) * 2002-12-20 2010-11-04 インターナショナル・ビジネス・マシーンズ・コーポレーション 3次元デバイスの製造方法
US6815077B1 (en) * 2003-05-20 2004-11-09 Matrix Semiconductor, Inc. Low temperature, low-resistivity heavily doped p-type polysilicon deposition
US6956278B2 (en) * 2003-06-30 2005-10-18 Matrix Semiconductor, Inc. Low-density, high-resistivity titanium nitride layer for use as a contact for low-leakage dielectric layers
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US20050221200A1 (en) * 2004-04-01 2005-10-06 Matrix Semiconductor, Inc. Photomask features with chromeless nonprinting phase shifting window
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US7224013B2 (en) * 2004-09-29 2007-05-29 Sandisk 3D Llc Junction diode comprising varying semiconductor compositions
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US7553611B2 (en) * 2005-03-31 2009-06-30 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7812404B2 (en) * 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US7615502B2 (en) * 2005-12-16 2009-11-10 Sandisk 3D Llc Laser anneal of vertically oriented semiconductor structures while maintaining a dopant profile

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states

Also Published As

Publication number Publication date
KR20120087189A (ko) 2012-08-06
KR20080022085A (ko) 2008-03-10
EP1883963A2 (en) 2008-02-06
US20060249753A1 (en) 2006-11-09
CN101297402A (zh) 2008-10-29
CN101297402B (zh) 2010-05-19
JP5139269B2 (ja) 2013-02-06
WO2006121924A3 (en) 2007-03-01
WO2006121924A2 (en) 2006-11-16
JP2008544481A (ja) 2008-12-04

Similar Documents

Publication Publication Date Title
KR101287015B1 (ko) 저온으로 제조되고 반도체 다이오드를 포함하는 고밀도비휘발성 메모리 어레이
US8722518B2 (en) Methods for protecting patterned features during trench etch
US9246089B2 (en) Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US7648896B2 (en) Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US7833843B2 (en) Method for forming a memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7994068B2 (en) Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US7422985B2 (en) Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US20060250836A1 (en) Rewriteable memory cell comprising a diode and a resistance-switching material
US20050014334A1 (en) Method for making high density nonvolatile memory
US20080017890A1 (en) Highly dense monolithic three dimensional memory array and method for forming
US7754605B2 (en) Ultrashallow semiconductor contact by outdiffusion from a solid source
KR20090089320A (ko) 유전체 안티휴즈와 직렬로 실리사이드에 인접하여 결정화된 p-i-n 다이오드와 이를 형성하는 방법
US20100283053A1 (en) Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US7811916B2 (en) Method for isotropic doping of a non-planar surface exposed in a void

Legal Events

Date Code Title Description
A201 Request for examination
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160616

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 5