JP2011511469A - 高い漏れ抵抗を有する相互接続構造体 - Google Patents

高い漏れ抵抗を有する相互接続構造体 Download PDF

Info

Publication number
JP2011511469A
JP2011511469A JP2010545424A JP2010545424A JP2011511469A JP 2011511469 A JP2011511469 A JP 2011511469A JP 2010545424 A JP2010545424 A JP 2010545424A JP 2010545424 A JP2010545424 A JP 2010545424A JP 2011511469 A JP2011511469 A JP 2011511469A
Authority
JP
Japan
Prior art keywords
diffusion barrier
conductive material
dielectric
top surface
interconnect structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010545424A
Other languages
English (en)
Other versions
JP5462807B2 (ja
Inventor
ヤン、チーチャオ
ニッタ、サティアナラヤナ、ヴェンカタ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2011511469A publication Critical patent/JP2011511469A/ja
Application granted granted Critical
Publication of JP5462807B2 publication Critical patent/JP5462807B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 高い漏れ抵抗を有し、かつ、金属残留物が相互接続誘電体の上面に存在しない、半導体相互接続構造体及びその製造方法を提供する。
【解決手段】 導電性構造部(すなわち、導電性材料)が誘電体材料の上面と同一平面上にはなく、代わりに導電性材料が誘電体材料の上面より下に陥凹する、相互接続構造体が提供される。誘電体材料の上面より下に陥凹することに加えて、相互接続構造体の導電性材料は、あらゆる面(すなわち、側壁面、上面及び底面)が拡散障壁材料で囲われる。従来技術の相互接続構造体とは異なり、陥凹した導電性材料の上面上に配置された障壁材料は、開口部が陥凹した導電性材料を含むように配置される。
【選択図】 図9

Description

本発明は、半導体構造体及びその製造方法に関する。より特定的には、本発明は、高い漏れ抵抗を有し、かつ、金属残留物(例えば、欠陥)が相互接続誘電体の上面に存在しない、半導体相互接続構造体及びその製造方法に関する。相互接続誘電体の上面における金属残留物(例えば、欠陥)の形成を回避しながら、相互接続構造体内の漏れ抵抗が改善される。
一般に、半導体デバイスは、半導体基板上に製造された集積回路(IC)を形成する複数の回路を含む。信号経路の複雑なネットワークが、通常、基板の表面上に分布する回路素子を接続するために経路設定される。デバイス全体にわたるこれらの信号の効率的な経路設定は、例えば、シングル又はデュアル・ダマシン配線構造体のようなマルチレベル又は多層方式の形成を必要とする。Cuベースの相互接続の方が、アルミニウム、すなわちAlベースの相互接続部と比べて、複雑な半導体チップ上の多数のトランジスタ間により高速の信号伝送をもたらすので、配線構造体は、典型的には、銅すなわちCu又はCu合金を含む。
典型的な相互接続構造体内において、金属ビアは、半導体基板に対して垂直に延び、金属ラインは、半導体基板に対して平行に延びる。現在のIC製品のチップにおいては、4.0より低い誘電率を有する誘電体材料内に金属ライン及び金属ビア(例えば、導電性構造部)を埋め込むことによって、さらなる信号速度の向上及び隣接した金属ラインおける(「クロストーク」として知られる)信号の低減が達成されている。
現在の半導体相互接続構造体において、時間依存絶縁破壊(time-dependent-dielectric-breakdown、TDDB)は、Cuベースの金属(メタラジ、metallurgy)及び低k誘電体材料を含む将来の相互接続構造体に対する主要な信頼性の問題の1つとして識別されている。「TDDB」とは、時間の経過と共に、相互接続構造体の誘電体材料が故障し始めることを意味する。内因性手段によって、又は、相互接続構造体を準備する過程において相互接続誘電体材料の表面上に形成される欠陥によって、誘電体材料の故障が引き起こされることがある。
相互接続誘電体表面に沿った金属イオン、特にCuイオンの漏れは、TDDBに起因する主要な内因性故障メカニズムとして識別されている。図1は、この内因性漏れ現象を示す従来技術の相互接続構造体10である。具体的には、従来技術の相互接続構造体は、Cu構造部14が内部に埋め込まれた誘電体材料12を含む。Cu構造部14は、典型的には、拡散障壁16によって誘電体材料12から分離される。誘電体キャップ層18は、誘電体材料12、拡散障壁16及びCu構造部14の表面上に存在する。図1において、矢印は、示されるように相互接続構造体の上面に沿って生じる、導電性構造部14からのCuイオンの漏れ(拡散)を示す。時間の経過と共に、このCuイオンの漏れは、TDDB、及び、相互接続構造体内のデバイスの故障ももたらす。
図2に示されるTDDBの別の原因となるものは、欠陥に関連している。具体的には、図2は、Cu残留物(例えば、欠陥)20が、誘電体材料12の上面と誘電体キャップ層18との間の界面に存在する、図1に示されるような構成要素を含む、別の従来技術の相互接続構造体10´である。Cu残留物20は、Cu構造部14の形成(すなわち、誘電体材料12内に形成される開口部へのCuの堆積及び平坦化)の際に形成される。平坦化の後、Cu残留物は、誘電体材料の表面に欠陥をもたらすものであり、時間依存絶縁破壊(TDDB)故障の根本的原因の1つである。
上述の従来技術の相互接続構造体に関してCuについて具体的に言及されているが、上記の漏れ及び欠陥の問題は、例えば、Al及びWのような他のタイプの導電性金属によって生じる(異なる速度及び範囲ではあるが)ことが留意される。
図1に示される漏れの問題及び図2に示される残留物の問題に鑑みて、金属の漏れ、特定的にはCuイオンの拡散、並びに、金属残留物、特定的にはCu残留物の両方を相互接続構造体から減少させる又は完全に排除することができる相互接続構造体を提供する必要性が引き続き存在する。
本発明は、高い漏れ抵抗を有し、かつ、金属残留物が相互接続構造体の特定の相互接続レベルの誘電体上面に存在しない、相互接続構造体を提供する。従って、本発明の相互接続構造体は、従来技術の相互接続構造体と比べて、改善された時間依存絶縁破壊(TDDB)を示す。
本発明の相互接続構造体において、導電性構造部(すなわち、導電性材料)は、誘電体材料の上面と同一平面にはなく、代わりに導電性材料が誘電体材料の上面より下に陥凹する。誘電体材料の上面より下に陥凹することに加えて、本発明の相互接続構造体の導電性材料は、あらゆる面(すなわち、側壁面、上面及び底面)が拡散障壁材料で囲まれる。陥凹した導電性材料の側壁面及び底面は、U字形状の拡散障壁でライニングされる(内側が覆われる)。陥凹した導電性材料の上面は、絶縁又は金属層でライニングされる。導電性材料の上面をライニングする絶縁又は金属層の縁部は、U字形状拡散障壁、又は、もしある場合は随意的なめっきシード層の上部側壁面と接触している。陥凹した導電性材料の上面をライニングする絶縁又は金属層は、共に拡散障壁特性を有する。陥凹した導電性材料が拡散障壁材料で完全に囲まれるので、誘電体材料の表面における金属イオンの漏れは、完全ではないにしても実質的に除去される。
従来技術の相互接続構造体とは異なり、本発明の相互接続構造体においては、陥凹した導電性材料の上面上に配置された障壁材料は、開口部が陥凹した導電性材料を含むように配置される。従来技術の相互接続構造体において、導電性構造部(すなわち、導電性材料)の上に形成されるいずれの障壁層も、本発明の相互接続構造体の場合のように、導電性材料を含む開口部内ではなく、開口部の上に、例えば開口部にわたって存在する。
本発明の相互接続構造体においては、陥凹した導電性材料と誘電体材料との間に直接的接触がなく、誘電体材料の表面上に延びる導電性材料の平坦化が用いられないため、従来技術の相互接続構造体の場合のように、相互接続誘電体材料の上面に導電性残留物が形成されないことがさらに留意される。上述の構造部は、誘電体表面上の導電性金属残留物(例えば、欠陥)を実質的に減少させる又はさらに排除するという大きな利点を有する。従って、本発明は、大量に製造できる、信頼性が高く技術的に拡張可能な相互接続構造体を提供する。
概して言えば、本発明の相互接続構造体は、
約4.0又はそれより低い誘電率を有する誘電体材料と、
誘電体材料内に埋め込まれた、側壁面、底面及び上面を有する導電性材料であって、導電性材料の上面は誘電体材料の上面より下に配置される、導電性材料と、
導電性材料の側壁面及び底面上に配置された少なくともU字形状の拡散障壁と、
導電性材料の上面上に配置され、かつ、少なくともU字形状の障壁の上部側壁面と接触している縁部を有する、拡散障壁特性を有する絶縁又は金属層と、
を含む。
本発明の相互接続構造体の幾つかの実施形態においては、誘電体キャップ層も存在し、誘電体キャップ層は、誘電体材料の上面、及び、拡散障壁特性を有する絶縁又は金属層の上面上に配置される。このような実施形態において、誘電体キャップ層は、SiC、SiNH、SiO、炭素ドープ酸化物、並びに窒素及び水素ドープ炭化シリコンSiC(N,H)のうちの1つを含むことができる。
本発明の相互接続構造体のさらなる実施形態において、多孔質又は非多孔質とすることができる誘電体材料は、SiO、シルセスキオキサン、Si、C、O及びH原子を含むCドープ酸化物、並びに熱硬化性ポリアリーレンエーテルのうちの1つを含むことができる。
本発明のさらなる実施形態において、本発明の相互接続構造体内のU字形状の拡散障壁は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、又はWNを含むことができる。
本発明の相互接続構造体の別の実施形態において、U字形状のめっきシード層も存在し、U字形状のめっきシード層は、少なくとも1つの導電性材料とU字形状の拡散障壁との間に配置される。この場合、絶縁又は金属層の縁部が、U字形状のめっきシード層の上部側壁面と直接接触する。導電性材料がめっきプロセスによって形成されるときに、U字形状のめっきシード層が使用される。存在する場合には、U字形状のめっきシード層は、Cu、Cu合金、Ir、Ir合金、Ru、又はRu合金を含むことができる。
本発明の相互接続構造体のさらに別の実施形態において、少なくとも1つの導電性材料は、純粋形態又は合金化形態のCu、W、又はAlを含むことができる。
本発明の好ましい実施形態において、
約4.0又はそれより低い誘電率を有する誘電体材料と、
誘電体材料内に埋め込まれた、側壁面、底面及び上面を有する銅含有導電性材料であって、銅含有導電性材料の上面は誘電体材料の上面より下に配置される、銅含有導電性材料と、
銅含有導電性材料の側壁面及び底面上に配置された少なくともU字形状の拡散障壁と、
導電性材料の上面上に配置され、かつ、少なくともU字形状の障壁の少なくとも上部側壁面と接触する縁部をもつ、拡散障壁特性を有する絶縁又は金属層と、
を含む相互接続構造体が提供される。
本発明の好ましい相互接続構造体の幾つかの実施形態においては、誘電体キャップ層も存在し、誘電体キャップ層は、誘電体材料の上面、及び、拡散障壁特性を有する絶縁又は金属層の上面上に配置される。このような実施形態において、誘電体キャップ層は、SiC、SiNH、SiO、炭素ドープ酸化物、並びに窒素及び水素ドープ炭化シリコンSiC(N,H)のうちの1つを含むことができる。
好ましい相互接続構造体のさらなる実施形態において、誘電体材料は、多孔質としても又は非多孔質としてもよいが、SiO、シルセスキオキサン、Si、C、O及びHの原子を含むCドープ酸化物、並びに熱硬化性ポリアリーレンエーテルのうちの1つを含むことができる。
好ましい相互接続構造体のさらなる実施形態において、本発明の相互接続構造体内のU字形状の拡散障壁は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、又はWNを含むことができる。
好ましい相互接続構造体の別の実施形態において、U字形状のめっきシード層も存在し、U字形状のめっきシード層は、導電性材料とU字形状の拡散障壁との間に配置される。この場合、絶縁又は金属層の縁部が、U字形状のめっきシード層の上部側壁面と直接接触する。U字形状のめっきシード層は、銅含有導電性材料がめっきプロセスによって形成されるときに使用される。存在する場合には、U字形状のめっきシード層は、Cu、Cu合金、Ir、Ir合金、Ru、又はRu合金を含むことができる。
上述の相互接続構造体に加えて、本発明はまた、
約4.0又はそれより低い誘電率を有する誘電体材料内に少なくとも1つの開口部を形成するステップであって、誘電体材料は、パターン形成されたハードマスクがその上面上に配置されている、ステップと、
少なくとも1つの開口部及びパターン形成されたハードマスクを拡散障壁でライニングするステップと、
少なくとも1つの開口部を導電性材料で部分的に充填するステップであって、導電性材料は、誘電体材料の上面より下に配置される、ステップと、
少なくとも1つの開口部内、導電性材料の上面上、並びにパターン形成されたハードマスクをライニングする拡散障壁の上に、拡散障壁特性を有する絶縁又は金属材料を形成するステップと、
拡散障壁特性を有する絶縁又は金属材料の別の部分を少なくとも1つの開口部内に保持しながら、誘電体材料の上面の上方にある、拡散障壁特性を有する絶縁又は金属材料の部分、拡散障壁、並びにパターン形成されたハードマスクを除去し、少なくとも1つの開口部内にU字形状の拡散障壁を形成するステップであって、拡散障壁特性を有する絶縁又は金属材料の別の部分は、誘電体材料の上面と同一平面上にあり、導電性材料は導電性材料の側壁面及び底面上に配置されたU字形状の拡散障壁で完全に囲まれ、拡散障壁特性を有する絶縁又は金属材料の別の部分は導電性材料の上面上に配置される、ステップと、
を含む、相互接続構造体を製造する方法を提供する。
本発明の方法の1つの実施形態において、誘電体キャップ層は、誘電体材料の上面上、並びに、少なくとも1つの開口部内に残る拡散障壁特性を有する絶縁又は金属材料の別の部分の上面上に形成される。存在する場合、誘電体キャップ層は、SiC、SiNH、SiO、炭素ドープ酸化物、並びに窒素及び水素ドープ炭化シリコンSiC(N,H)のうちの1つを含むことができる。
本発明の方法の別の実施形態において、拡散障壁は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、又はWNを含むことができ、かつ、化学気相堆積、プラズマ強化化学気相堆積、原子層堆積、物理気相堆積、スパッタリング、化学溶液堆積及びめっきによって形成される。
本発明の方法のさらに別の実施形態において、めっきシード層は、導電性材料と拡散障壁との間に形成され、めっきシード層は、Cu、Cu合金、Ir、Ir合金、Ru、又はRu合金を含むことができる。めっきシード層が使用される実施形態において、めっきシード層は、化学気相堆積、プラズマ強化化学気相堆積、原子層堆積及び物理気相堆積によって形成される。
本発明の方法のさらなる実施形態において、導電性材料は、純粋形態又は合金化形態のCu、W又はAlを含むことができる。
本発明の方法のさらなる実施形態において、少なくとも1つの開口部を導電性材料で部分的に充填することは、化学気相堆積、スパッタリング、化学溶液堆積及びめっきから選択される堆積プロセスを含む。
本発明のさらなる実施形態において、少なくとも1つの開口部を導電性材料で部分的に充填することは、少なくとも1つの開口部を導電性材料で完全に充填し、陥凹することを含む。
本発明の方法のさらに別の実施形態において、除去するステップは、化学機械研磨を含む。
本発明の実施形態が、添付の図面を参照して、ほんの一例としてここに説明されるであろう。
従来技術の相互接続構造体を示す図形的表現(断面図による)であり、Cuの漏れを示す。 従来技術の相互接続構造体を示す図形的表現(断面図による)であり、Cu残留物を示す。 高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない、信頼性が高く技術的に拡張可能な相互接続構造体を製造する際に、本発明に用いられる基本的な処理ステップを示す図形的表現(断面図による)である。 高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない、信頼性が高く技術的に拡張可能な相互接続構造体を製造する際に、本発明に用いられる基本的な処理ステップを示す図形的表現(断面図による)である。 高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない、信頼性が高く技術的に拡張可能な相互接続構造体を製造する際に、本発明に用いられる基本的な処理ステップを示す図形的表現(断面図による)である。 高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない、信頼性が高く技術的に拡張可能な相互接続構造体を製造する際に、本発明に用いられる基本的な処理ステップを示す図形的表現(断面図による)である。 高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない、信頼性が高く技術的に拡張可能な相互接続構造体を製造する際に、本発明に用いられる基本的な処理ステップを示す図形的表現(断面図による)である。 高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない、信頼性が高く技術的に拡張可能な相互接続構造体を製造する際に、本発明に用いられる基本的な処理ステップを示す図形的表現(断面図による)である。 高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない、信頼性が高く技術的に拡張可能な相互接続構造体を製造する際に、本発明に用いられる基本的な処理ステップを示す図形的表現(断面図による)である。
高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない相互接続構造体及びその製造方法を提供する、本発明が、以下の説明及び本出願に添付の図面を参照しながら、ここでより詳細に説明されるであろう。本出願の図面は例示の目的で提供されたものであり、一定の尺度では描かれていないことに留意されたい。
以下の説明においては、本発明の完全な理解を提供するために、特定の構造体、構成部品、材料、寸法、処理ステップ及び技術といった多数の特定の詳細が述べられる。しかしながら、当業者であれば、本発明をこれらの特定の詳細なしで実施できることを理解するであろう。他の例では、本発明を不明瞭にするのを避けるために、周知の構造体又は処理ステップは詳細に説明しなかった。
層、領域又は基板のような要素が、別の要素の「上に(on)」又は「上方に(over)」にあると言うとき、他の要素の直接上にあってもよく、又は、介在する要素が存在してもよいことが理解されるであろう。対照的に、要素が別の要素の「直接上に(directly on)」又は「直接上方に(directly over)」にあると言うときは、介在する要素は存在しない。要素が別の要素に「接続される(connected)」又は「結合される(coupled)」と言うとき、要素は、他の要素に直接接続又は結合されてもよく、又は介在する要素が存在してもよいことが理解されるであろう。対照的に、要素が別の要素の「直接接続される(directly connected)」又は「直接結合される(directlycoupled)」と言うときは、介在する要素は存在しない。
上述のように、本発明は、高い漏れ抵抗を有し、かつ、金属残留物が誘電体材料の表面に存在しない相互接続構造体及びその製造方法を提供する。本発明の相互接続構造体は、従来技術の発明の構造体と比べて、改善されたTDDBを示す。
本発明の相互接続構造体において、導電性構造部(すなわち、導電性材料)は、誘電体材料の上面と同一平面上にはなく、代わりに、導電性材料が誘電体材料の上面より下に陥凹する。誘電体材料の上面より下に陥凹することに加えて、本発明の相互接続構造体の導電性材料は、あらゆる面(すなわち、側壁面、上面及び底面)が拡散障壁材料で囲まれる。開口部内の陥凹した導電性材料の側壁面及び底面は、U字形状の拡散障壁でライニングされる。陥凹した導電性材料の上面は、どちらも拡散障壁特性を有する絶縁又は金属層でライニングされる。導電性材料の上面をライニングする絶縁又は金属層の縁部は、U字形状の拡散障壁、又は、ある場合には随意的なU字形状のめっきシード層の上部側壁面と接触する。
本出願においては、陥凹した導電性材料と誘電体材料との間に直接的な接触がなく、相互接続誘電体の表面上に延びる導電性材料の平坦化は用いられず、従って、従来技術の相互接続構造体のように導電性残留物が相互接続構造体の上面に形成されないことが、さらに留意される。上述の構造部は、誘電体表面上の導電性金属残留物(例えば、欠陥)を減少させるという大きな利点を有している。
ここで本発明の半導体相互接続構造体を形成するのに用いられる基本的な処理ステップを示す図3−図9を参照する。図3は、誘電体材料52と、誘電体材料52の表面上に配置されたハードマスク54とを含む初期構造体50を示す。
初期構造体50、すなわち誘電体材料52は、基板(本出願の図面には示されていない)の上に配置することができる。図示されていない基板は、半導体材料、絶縁材料、導電性材料、又はそれらの任意の組み合わせを含むことができる。基板が半導体材料からなるとき、Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP及び他のIII/V族又はII/VI族化合物半導体のような任意の半導体を用いることができる。これらの列挙したタイプの半導体材料に加えて、本発明はまた、半導体基板が、例えば、Si/SiGe、Si/SiC、シリコン・オン・インシュレータ(SOI)、又はシリコンゲルマニウム・オン・インシュレータ(SGOI)のような層状半導体である場合も考慮する。
基板が絶縁材料である場合、絶縁材料は、有機絶縁体、無機絶縁体又は多層を含むそれらの組み合わせとすることができる。基板が導電性材料である場合、基板は、例えば、ポリSi、元素金属、元素金属の合金、金属シリサイド、金属窒化物又は多層を含むそれらの組み合わせを含むことができる。基板が半導体材料を含む場合、例えば相補型金属酸化膜半導体(CMOS)デバイスのような1つ又は複数の半導体デバイスをその上に製造することができる。基板が絶縁材料と導電性材料との組み合わせを含む場合には、基板は、多層相互接続構造体の第1の相互接続レベルを表すことができる。
誘電体材料52は、無機誘電体又は有機誘電体を含むいかなる層間(interlevel)又は層内(intralevel)誘電体も含む。誘電体材料52は、多孔質又は非多孔質とすることができる。誘電体材料52として用いることができる適切な誘電体の幾つかの例として、これらに限定されるものではないが、SiO、シルセスキオキサン、Si、C、O及びH原子を含むCドープ酸化物(すなわち、有機シリケート)、熱硬化性ポリアリーレンエーテル、又はそれらの多層が挙げられる。「ポリアリーレン」という用語は、本出願においては、結合、縮合環、又は、例えば、酸素、硫黄、スルホン、スルホキシド、カルボニル等のような不活性結合基によって互いに結合された、アリール部分又は不活性に置換されたアリール部分を表すために用いられる。
誘電体材料52は、典型的には、約4.0又はそれより低い誘電率を有し、約2.8又はそれより低い誘電率がさらにより典型的である。本明細書において言及される全ての誘電率は、特に断りのない限り、真空に対しての誘電率である。これらの誘電体は、一般に、4.0より高い誘電率を有する誘電体材料と比べて、寄生クロストークがより低い。誘電体材料52の厚さは、用いられる誘電体材料、並びに、誘電体材料52内の誘電体層の正確な数に応じて変わり得る。典型的には、通常の相互接続構造体については、誘電体材料52は、約50nmから約1000nmまでの厚さを有する。
上述のように、初期構造体50はまた、誘電体材料52の上面上に配置されたハードマスク54を含む。ハードマスク54は、酸化物、窒化物、酸窒化物又はそれらの任意の多層構造の組み合わせも含む。1つの実施形態において、ハードマスク54は二酸化シリコンのような酸化物であり、一方、別の実施形態において、ハードマスク54は窒化シリコンのような窒化物である。
ハードマスク54は、例えば、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、化学溶液堆積、蒸着、及び物理気相堆積(PVD)を含む従来の堆積プロセスを用いて形成される。代替的に、ハードマスク54は、熱酸化及び熱窒化の一方によって形成することもできる。
本発明に用いられるハードマスク54の厚さは、ハードマスク自体の材料、並びに、これを形成するのに用いられる技術に応じて変わり得る。典型的には、ハードマスク54は、約5nmから約100nmまでの厚さを有し、約10nmから約80nmまでの厚さがさらにより典型的である。
次に、図4に示されるように、リソグラフィ及びエッチングを用いて、少なくとも1つの開口部56が、ハードマスク54及び誘電体材料52内に形成される。リソグラフィ・プロセスは、ハードマスク54の上にフォトレジスト(図示せず)を形成し、フォトレジストを所望の放射パターンに露光させ、従来のレジスト現像液を用いて露光されたフォトレジストを現像することを含む。エッチング・プロセスは、乾式エッチング・プロセス(例えば、反応性イオン・エッチング、イオンビーム・エッチング、プラズマ・エッチング、又はレーザ・アブレーションのような)、及び/又は、湿式化学エッチング・プロセスを含む。典型的には、反応性イオン・エッチングは、少なくとも1つの開口部56を提供するのに用いられる。典型的には、エッチング・プロセスは、フォトレジストに与えられたパターンをハードマスク54に転写し、次に、パターン形成されたフォトレジストをアッシング・ステップによって除去する第1のパターン転写ステップを含み、その後、第2のパターンの転写ステップを用いて、パターン形成されたハードマスクから下にある誘電体材料内にパターンを転写する。
誘電体材料52内に形成される少なくとも1つの開口部56の深さ(誘電体材料の上面から開口部の底壁まで測定される)は変わり得るが、これは本出願には重要ではない。幾つかの実施形態において、少なくとも1つの開口部56は、誘電体材料を完全に通って延びることができる。さらに他の実施形態において、少なくとも1つの開口部56は、誘電体材料52自体の内部で停止する。さらに別の実施形態においては、異なる深さの開口部を形成することができる。
少なくとも1つの開口部56は、ビア開口部、ライン開口部、及び/又は、組み合わされたビア/ライン開口部とすることができることが、さらに観察される。図4においては、一例として、開口部の各々がライン開口部として示される。
次に、図5に示されるように、拡散障壁58が、少なくとも1つの開口部の内部(すなわち、開口部の各々の側壁及び底壁上)を含めて図4に示される構造体の全ての露出面上に、残りのハードマスク54の上面に沿って形成される。
拡散障壁58は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、WN、又は導電性材料がそこを通って拡散するのを防ぐための障壁として働くことができる他のいずれかの材料を含む。拡散障壁58の厚さは、用いられる堆積プロセス、並びに、用いられる材料に応じて変わり得る。典型的には、拡散障壁58は、約2nmから約50nmまでの厚さを有し、約5nmから約20nmまでの厚さがより典型的である。
拡散障壁58は、例えば、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)、物理気相堆積(PVD)、スパッタリング、化学溶液堆積及びめっきを含む堆積プロセスによって形成される。
幾つかの実施形態においては、随意的なめっきシード層(図5内には具体的に示されていない)を拡散障壁58の表面上に形成することができる。導電性材料が拡散障壁58上に後で直接形成される場合には、随意的なめっきシード層を必要としない。随意的なめっきシード層は、予め選択された導電性金属又は金属合金の後の電気めっきを選択的に促進するために使用される。随意的なめっきシード層は、Cu、Cu合金、Ir、Ir合金、Ru、又はRu合金(例えば、TaRu合金)、或いは低い金属めっき過電圧を有する他のいずれかの適切な貴金属又は貴金属合金を含むことができる。典型的には、Cu又はCu合金めっきシード層は、Cu金属が開口部56内に後で形成されるときに使用される。
随意的なシード層の厚さは、随意的なめっきシード層の材料、並びに、これを形成するのに用いられる技術に応じて変わり得る。典型的には、随意的なめっきシード層は、約2nmから約80nmまでの厚さを有する。
随意的なめっきシード層は、例えば、CVD、PRCVD、ALD及びPVDを含む従来の堆積プロセスによって形成することができる。
少なくとも拡散障壁でライニングされた少なくとも1つの開口部56内に、導電性材料60(誘電体材料52内に導電性構造部を形成する)が部分的に形成され、例えば、図6に示される構造体を提供する。時間制御プロセスを行なって、部分的に形成された構造体をもたらす。導電性材料60は、ポリSi、SiGe、導電性金属、少なくとも1つの導電性金属を含む合金、導電性金属シリサイド、又はそれらの組み合わせを含むことができる。導電性材料60は、Cu、W又はAlのような導電性金属であることが好ましく、本発明においては、Cu又はCu合金(AlCuのような)が非常に好ましい。
導電性材料60は、少なくとも1つの開口部56を部分的に充填することによって、或いは少なくとも1つの開口部56を完全に充填し、次いで誘電体材料52の上面より下のレベルまで導電性材料60を陥凹することによって、形成することができる。化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、スパッタリング、化学溶液堆積、及び少なくとも1つの開口部を底部(ボトム)から上方向に充填するめっきを含む、いずれかの従来の堆積プロセスを用いることができる。ボトムアップ式めっきプロセスを用いることが好ましい。
陥凹ステップが用いられる場合には、導電性材料60の一部を選択的に除去するエッチング・プロセスを用いて、誘電体材料52内の少なくとも1つの開口部56の部分的な充填を提供する。
次に、少なくとも1つの開口部56の残りの部分内、及び、少なくとも1つの開口部56の外側に延びる拡散障壁58(又は、随意的な金属シード層)の上に、平坦化停止層62が形成される。平坦化停止層62を含む結果として得られる構造体が、例えば図7に示される。平坦化停止層62は、例えば、炭化シリコン、窒化シリコン、及び/又は、窒素及び水素ドープ炭化シリコンなどのいずれかの絶縁材料、或いは、例えば、拡散障壁特性を有する、純粋形態、合金化形態、又は窒化形態のTa、Ru、Ir、W、Co、Ti及び/又はRhなどのいずれかの金属材料を含む。従って、平坦化停止層62は、拡散障壁特性を有する絶縁又は金属材料と呼ぶことができる。
平坦化停止層62は、これらに限定されるものではないが、CVD、PECVD、蒸着、化学溶液堆積、スパッタリング及び物理気相堆積(PVD)を含む従来の堆積プロセスによって形成される。
次に図8に示されるように、例えば、化学機械研磨(CMP)及び/又は研削のような平坦化プロセスを用いて、少なくとも1つの開口部56の開口の上方に延びる平坦化停止層62の部分を除去する。平坦化ステップの際、誘電体材料52の上部水平面の上から、拡散障壁及びハードマスクが除去されることが留意される。従って、平坦化プロセスは、U字形状の拡散障壁(側壁面及び底面上の)、及び、本発明のこのステップの際に除去されなかった平坦化停止層62´の残りの部分で完全に囲まれる導電性材料60を提供する。平坦化停止層の残りの部分は、少なくとも1つの開口部内に位置し、かつ、誘電体材料52の上面と同一平面上にある上面を有する。
図8は、本発明の相互接続を示すことが強調される。示されるように、本発明の相互接続構造体は、約4.0又はそれより低い誘電率を有する誘電体材料52と、誘電体材料52内に埋め込まれた側壁面60X、底面60Y及び上面60Zを有する導電性材料60とを含み、導電性材料60の上面60Zは、誘電体材料52の上面52Uより下に配置される。本発明の相互接続構造体はまた、導電性材料60の側壁面60X及び底面60Y上に配置された少なくともU字形状の拡散障壁58も含む。本発明の相互接続構造体はまた、拡散障壁特性62´が導電性材料60の上面60Z上に配置された絶縁又は金属層も含み、この絶縁又は金属層は、少なくともU字形状の障壁の上部側壁面と接触する縁部Eを備えた拡散障壁特性62´を有する。
図9は、図8に示される構造体の露出面上に誘電体キャップ層64が形成された、随意的な実施形態を示す。誘電体キャップ層64は、例えば、SiC、SiNH、SiO、炭素ドープ酸化物、窒素及び水素ドープ炭化シリコンSiC(N,H)、又はこれらの多層のような、いずれかの適切な誘電体キャップ材料を含む。随意的な誘電体キャップ層64を形成する際に、例えば、化学気相堆積、プラズマ強化化学気相堆積、化学溶液堆積、蒸着及び原子層堆積のような、いずれかの従来の堆積プロセスを用いることができる。誘電体キャップ層64の厚さは、これを形成するのに用いられる技術、並びに、層の材料構成によって変わり得る。典型的には、誘電体キャップ層64は、約15nmから約100nmまでの厚さを有し、約25nmから約45nmまでの厚さがより典型的である。
本発明の方法において、導電性材料60と誘電体材料52との間の直接的接触はなされず、誘電体の表面上に延びる導電性材料の平坦化は用いられないので、導電性残留物は形成されないことが留意される。上記の特徴は、誘電体表面上の導電性金属残留物(例えば、欠陥)を低減するという大きな利点を有する。従って、本発明の方法は、大量に製造することができる、信頼性が高く技術的に拡張可能な相互接続構造体を提供する。
本発明を、その好ましい実施形態に関して具体的に示し、説明してきたが、当業者であれば、本発明の精神及び範囲から逸脱することなく、形態及び詳細において上記の及び他の変更を行うことができることを理解するであろう。従って、本発明は、説明され、図示されたそのままの形態及び詳細に限定されるものではなく、添付の特許請求の範囲内に含まれることが意図される。
10、10´:相互接続構造体
12:誘電体材料
14:Cu構造部
16、58:拡散障壁
18、64:誘電体キャップ層
20:Cu残留物
50:初期構造体
52:誘電体材料
54:ハードマスク
56:開口部
60:導電性材料
62:平坦化停止層(拡散障壁特性を有する絶縁又は金属材料)
62´:拡散障壁特性
E:縁部

Claims (25)

  1. 4.0又はそれより低い誘電率を有する誘電体材料と、
    前記誘電体材料内に埋め込まれた、側壁面、底面及び上面を有する導電性材料であって、前記導電性材料の前記上面は前記誘電体材料の上面より下に配置される、導電性材料と、
    前記導電性材料の前記側壁面及び前記底面上に配置された少なくともU字形状の拡散障壁と、
    前記導電性材料の前記上面上に配置され、かつ、少なくとも前記U字形状の障壁の上部側壁面と接触している縁部を有する、拡散障壁特性を有する絶縁又は金属層と、
    を含む相互接続構造体。
  2. 前記誘電体材料の前記上面、及び、前記拡散障壁特性を有する絶縁又は金属層の上面上に配置された誘電体キャップ層をさらに含む、請求項1に記載の相互接続構造体。
  3. 前記誘電体キャップ層は、SiC、SiNH、SiO、炭素ドープ酸化物、並びに窒素及び水素ドープ炭化シリコンSiC(N,H)のうちの1つを含む、請求項2に記載の相互接続構造体。
  4. 前記誘電体材料は、SiO、シルセスキオキサン、Si、C、O及びH原子を含むCドープ酸化物、並びに熱硬化性ポリアリーレンエーテルのうちの1つを含む、前記請求項のいずれかに記載の相互接続構造体。
  5. 前記U字形状の拡散障壁は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W又はWNを含む、前記請求項のいずれかに記載の相互接続構造体。
  6. 前記導電性材料と前記U字形状の拡散障壁との間に配置されたU字形状のめっきシード層をさらに含み、前記U字形状のめっきシード層は、Cu、Cu合金、Ir、Ir合金、Ru、又はRu合金を含む、前記請求項のいずれかに記載の相互接続構造体。
  7. 前記導電性材料は、純粋形態又は合金化形態のCu、W、又はAlを含む、前記請求項のいずれかに記載の相互接続構造体。
  8. 前記拡散障壁特性を有する絶縁又は金属層は、炭化シリコン、窒化シリコン、窒素及び水素ドープ炭化シリコン、並びに純粋形態、合金化形態、又は窒化形態のTa、Ru、Ir、W、Co、Ti及びRhから選択される金属材料のうちの1つを含む、前記請求項のいずれかに記載の相互接続構造体。
  9. 4.0又はそれより低い誘電率を有する誘電体材料と、
    前記誘電体材料内に埋め込まれた、側壁面、底面及び上面を有する銅含有導電性材料であって、前記銅含有導電性材料の前記上面は前記誘電体材料の上面より下に配置される、銅含有導電性材料と、
    前記銅含有導電性材料の前記側壁面及び前記底面上に配置された少なくともU字形状の拡散障壁と、
    前記導電性材料の前記上面上に配置され、かつ、少なくとも前記U字形状の障壁の少なくとも上部側壁面と接触する縁部をもつ、拡散障壁特性を有する絶縁又は金属層と、
    を含む相互接続構造体。
  10. 前記誘電体材料の前記上面、及び、前記拡散障壁特性を有する絶縁又は金属層の上面上に配置された誘電体キャップ層をさらに含む、請求項9に記載の相互接続構造体。
  11. 前記誘電体キャップ層は、SiC、SiNH、SiO、炭素ドープ酸化物、並びに窒素及び水素ドープ炭化シリコンSiC(N,H)のうちの1つを含む、請求項10に記載の相互接続構造体。
  12. 前記誘電体材料は、SiO、シルセスキオキサン、Si、C、O及びH原子を含むCドープ酸化物、並びに熱硬化性ポリアリーレンエーテルのうちの1つを含む、請求項9から請求項11までのいずれかに記載の相互接続構造体。
  13. 前記U字形状の拡散障壁は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W又はWNを含む、請求項9から請求項12のいずれかに記載の相互接続構造体。
  14. 前記導電性材料と前記U字形状拡散障壁との間に配置されたU字形状のめっきシード層をさらに含み、前記U字形状のめっきシード層は、Cu、Cu合金、Ir、Ir合金、Ru、又はRu合金を含む、請求項9から請求項13までのいずれかに記載の相互接続構造体。
  15. 前記拡散障壁特性を有する絶縁又は金属層は、炭化シリコン、窒化シリコン、窒素及び水素ドープ炭化シリコン、並びに純粋形態、合金化形態、又は窒化形態のTa、Ru、Ir、W、Co、Ti及びRhから選択される金属材料のうちの1つを含む、請求項9から請求項14までのいずれかに記載の相互接続構造体。
  16. 相互接続構造体を形成する方法であって、
    4.0又はそれより低い誘電率を有する誘電体材料内に少なくとも1つの開口部を形成するステップであって、前記誘電体材料は、パターン形成されたハードマスクがその上面上に配置されている、当該ステップと、
    前記少なくとも1つの開口部及び前記パターン形成されたハードマスクを拡散障壁でライニングするステップと、
    前記少なくとも1つの開口部を導電性材料で部分的に充填するステップであって、前記導電性材料は、前記誘電体材料の上面より下に配置される、当該ステップと、
    前記少なくとも1つの開口部内、前記導電性材料の前記上面上、並びに前記パターン形成されたハードマスクをライニングする前記拡散障壁の上に、拡散障壁特性を有する絶縁又は金属材料を形成するステップと、
    前記少なくとも1つの開口部内の前記拡散障壁特性を有する絶縁又は金属材料の別の部分を保持しながら、前記誘電体材料の前記上面の上方にある、前記拡散障壁特性を有する絶縁又は金属材料の部分、前記拡散障壁、並びに前記パターン形成されたハードマスクを除去し、前記少なくとも1つの開口部内にU字形状の拡散障壁を形成するステップであって、前記拡散障壁特性を有する絶縁又は金属材料の別の部分は、前記誘電体材料の前記上面と同一平面上にあり、前記導電性材料は前記導電性材料の側壁面及び底面上に配置された前記U字形状の拡散障壁で完全に囲まれ、前記拡散障壁特性を有する絶縁又は金属材料の前記別の部分は前記導電性材料の前記上面上に配置される、当該ステップと、
    を含む方法。
  17. 前記誘電体材料の前記上面上、並びに、前記少なくとも1つの開口部内に残る前記拡散障壁特性を有する絶縁又は金属材料の前記別の部分の上面上に、誘電体キャップ層を形成するステップをさらに含む、請求項16に記載の方法。
  18. 前記拡散障壁は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W又はWNを含む、請求項16又は請求項17に記載の方法。
  19. 前記拡散障壁は、化学気相堆積、プラズマ強化化学気相堆積、原子層堆積、物理気相堆積、スパッタリング、化学溶液堆積及びめっきによって形成される、請求項16から請求項18までのいずれかに記載の方法。
  20. 前記導電性材料と前記拡散障壁との間に配置されためっきシード層を形成するステップをさらに含み、前記めっきシード層は、Cu、Cu合金、Ir、Ir合金、Ru、又はRu合金を含む、請求項16から請求項19までのいずれかに記載の方法。
  21. 前記めっきシード層は、化学気相堆積、プラズマ強化化学気相堆積、原子層堆積、又は物理気相堆積によって形成される、請求項20に記載の方法。
  22. 前記導電性材料は、純粋形態又は合金化形態のCu、W又はAlを含む、請求項16から請求項21までのいずれかに記載の方法。
  23. 前記少なくとも1つの開口部を前記導電性材料で部分的に充填するステップは、化学気相堆積、スパッタリング、化学溶液堆積及びめっきから選択される堆積プロセスを含む、請求項16から請求項22までのいずれかに記載の方法。
  24. 前記少なくとも1つの開口部を前記導電性材料で部分的に充填するステップは、前記少なくとも1つの開口部を前記導電性材料で完全に充填し、陥凹するステップを含む、請求項16から請求項23までのいずれかに記載の方法。
  25. 前記拡散障壁特性を有する絶縁又は金属材料は、炭化シリコン、窒化シリコン、窒素及び水素ドープ炭化シリコン、並びに純粋形態、合金化形態、又は窒化形態のTa、Ru、Ir、W、Co、Ti及びRhから選択される金属材料のうちの1つを含む、請求項16から請求項24までのいずれかに記載の方法。
JP2010545424A 2008-02-07 2009-01-21 高い漏れ抵抗を有する相互接続構造体 Expired - Fee Related JP5462807B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/027,677 US20090200668A1 (en) 2008-02-07 2008-02-07 Interconnect structure with high leakage resistance
US12/027,677 2008-02-07
PCT/EP2009/050627 WO2009098120A1 (en) 2008-02-07 2009-01-21 Interconnect structure with high leakage resistance

Publications (2)

Publication Number Publication Date
JP2011511469A true JP2011511469A (ja) 2011-04-07
JP5462807B2 JP5462807B2 (ja) 2014-04-02

Family

ID=40456771

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010545424A Expired - Fee Related JP5462807B2 (ja) 2008-02-07 2009-01-21 高い漏れ抵抗を有する相互接続構造体

Country Status (5)

Country Link
US (2) US20090200668A1 (ja)
JP (1) JP5462807B2 (ja)
KR (1) KR20100109932A (ja)
TW (1) TW200947614A (ja)
WO (1) WO2009098120A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8232645B2 (en) 2008-08-14 2012-07-31 International Business Machines Corporation Interconnect structures, design structure and method of manufacture
KR101656444B1 (ko) * 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
KR20160141875A (ko) * 2011-11-04 2016-12-09 인텔 코포레이션 자기 정렬 캡의 형성 방법 및 장치
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US8586473B1 (en) * 2012-06-26 2013-11-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits with ruthenium-lined copper
US9349636B2 (en) 2013-09-26 2016-05-24 Intel Corporation Interconnect wires including relatively low resistivity cores
US9263325B1 (en) * 2014-08-20 2016-02-16 Globalfoundries Inc. Precut metal lines
EP3238244A4 (en) * 2014-12-22 2018-08-15 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme
CN107112277B (zh) * 2014-12-24 2021-03-12 英特尔公司 将过孔与密集间距金属互连层的顶和底自对准的结构和方法
EP3238247A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US20190348369A1 (en) * 2018-05-10 2019-11-14 Mehul B. Naik Method and apparatus for protecting metal interconnect from halogen based precursors
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11270963B2 (en) * 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11935784B2 (en) 2021-06-11 2024-03-19 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned bit line contacts and methods for forming the same

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001176879A (ja) * 1999-09-07 2001-06-29 Chartered Semiconductor Mfg Ltd 半導体基板の表面上における銅のデュアル・ダマシン構造体の表面を処理する方法
JP2001284355A (ja) * 2000-03-30 2001-10-12 Sony Corp 半導体装置およびその製造方法
JP2004506797A (ja) * 2000-08-21 2004-03-04 ダウ グローバル テクノロジーズ インコーポレイティド マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
JP2004200684A (ja) * 2002-12-16 2004-07-15 Internatl Business Mach Corp <Ibm> 選択的キャッピングおよび無電解めっきに利用可能な銅リセス・プロセス
JP2005159008A (ja) * 2003-11-26 2005-06-16 Fujitsu Ltd 半導体装置の製造方法
JP2006253290A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd SiC系膜の成膜方法及び半導体装置の製造方法
JP2006294815A (ja) * 2005-04-08 2006-10-26 Sharp Corp 半導体装置及びその製造方法
JP2007035996A (ja) * 2005-07-28 2007-02-08 Toshiba Corp 半導体装置およびその製造方法
JP2007049148A (ja) * 2005-08-06 2007-02-22 Samsung Electronics Co Ltd 障壁金属スペーサを備える半導体素子及びその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
KR100316017B1 (ko) * 1998-12-24 2002-02-19 박종섭 상감기법을이용한미세금속패턴형성방법
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
KR100729126B1 (ko) * 2005-11-15 2007-06-14 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 그 형성 방법
KR100752195B1 (ko) * 2006-09-08 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
US20080217183A1 (en) * 2007-03-09 2008-09-11 Sriram Muthukumar Electropolishing metal features on a semiconductor wafer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001176879A (ja) * 1999-09-07 2001-06-29 Chartered Semiconductor Mfg Ltd 半導体基板の表面上における銅のデュアル・ダマシン構造体の表面を処理する方法
JP2001284355A (ja) * 2000-03-30 2001-10-12 Sony Corp 半導体装置およびその製造方法
JP2004506797A (ja) * 2000-08-21 2004-03-04 ダウ グローバル テクノロジーズ インコーポレイティド マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
JP2004200684A (ja) * 2002-12-16 2004-07-15 Internatl Business Mach Corp <Ibm> 選択的キャッピングおよび無電解めっきに利用可能な銅リセス・プロセス
JP2005159008A (ja) * 2003-11-26 2005-06-16 Fujitsu Ltd 半導体装置の製造方法
JP2006253290A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd SiC系膜の成膜方法及び半導体装置の製造方法
JP2006294815A (ja) * 2005-04-08 2006-10-26 Sharp Corp 半導体装置及びその製造方法
JP2007035996A (ja) * 2005-07-28 2007-02-08 Toshiba Corp 半導体装置およびその製造方法
JP2007049148A (ja) * 2005-08-06 2007-02-22 Samsung Electronics Co Ltd 障壁金属スペーサを備える半導体素子及びその製造方法

Also Published As

Publication number Publication date
WO2009098120A1 (en) 2009-08-13
JP5462807B2 (ja) 2014-04-02
US20090298281A1 (en) 2009-12-03
KR20100109932A (ko) 2010-10-11
US20090200668A1 (en) 2009-08-13
TW200947614A (en) 2009-11-16

Similar Documents

Publication Publication Date Title
JP5462807B2 (ja) 高い漏れ抵抗を有する相互接続構造体
JP5274475B2 (ja) エレクトロマイグレーションに対する向上した信頼度を有する相互接続構造体及びその製造方法
JP5818210B2 (ja) 誘電ライン・バイアのエレクトロマイグレーション耐性が向上した界面層を有する相互接続構造およびその製造方法
EP2139037B1 (en) Method of fabricating an interconnect structure for electromigration enhancement
US8796853B2 (en) Metallic capped interconnect structure with high electromigration resistance and low resistivity
JP5441926B2 (ja) 相互接続構造のための貴金属キャップおよびこれを形成する方法(相互接続構造のための貴金属キャップ)
JP5419328B2 (ja) 障壁冗長構成要素を有する相互接続構造体および相互接続構造体を形成する方法
US7834457B2 (en) Bilayer metal capping layer for interconnect applications
US8003524B2 (en) Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
TWI463632B (zh) 應用於電子熔絲之高效能內連線結構
US8659156B2 (en) Interconnect structure with an electromigration and stress migration enhancement liner
WO2011084667A2 (en) Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same
JP2011014904A (ja) ビアがガウジングされた相互接続構造体及びその製造方法
KR20110083636A (ko) 배선 집적화를 위한 불연속/불균일 금속 캡 구조 및 프로세스
JP5285612B2 (ja) 半導体デバイスおよび相互接続構造体の形成方法
US20090072406A1 (en) Interconnect structure with improved electromigration resistance and method of fabricating same
US7687877B2 (en) Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same
US20090269929A1 (en) Non-plasma capping layer for interconnect applications

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130806

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130808

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131003

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20131003

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20131003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20131007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131126

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20131126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20131224

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20131224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140117

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees