JP2009526276A - 設計レイアウト内に充填型を挿入する方法と装置 - Google Patents

設計レイアウト内に充填型を挿入する方法と装置 Download PDF

Info

Publication number
JP2009526276A
JP2009526276A JP2008554526A JP2008554526A JP2009526276A JP 2009526276 A JP2009526276 A JP 2009526276A JP 2008554526 A JP2008554526 A JP 2008554526A JP 2008554526 A JP2008554526 A JP 2008554526A JP 2009526276 A JP2009526276 A JP 2009526276A
Authority
JP
Japan
Prior art keywords
circuit design
design layout
filling
predetermined
layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008554526A
Other languages
English (en)
Inventor
リャオ、ホンメイ
パクキリサミー、イラム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of JP2009526276A publication Critical patent/JP2009526276A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

設計レイアウト内に、充填型を挿入する方法および装置を説明する。回路設計レイアウト内の1つ以上のジョグエリアを識別する。引き続いて、回路設計レイアウト内に複数の充填型を挿入し、各充填型は、回路設計レイアウト内の対応するジョグエリアを無くすように構成されている。回路設計レイアウトに適用可能な少なくとも1つの予め定められた設計ルールに違反する、1つ以上の充填型を識別する。次に、予め定められた設計ルールに準拠するように、それらの充填型を適合させる。最後に、回路設計レイアウト内で、予め定められた設計ルールに準拠して、残りの充填型を組み合わせて、回路設計出力レイアウトを形成する。
【選択図】 図4

Description

発明の分野
ここで開示する実施形態は、一般的に電子的回路のための製造技術に関連し、さらに詳細には、構造内のジョグエリアを無くすことができるように、設計レイアウト内に充填型を挿入する方法と装置に関連する。
発明の背景
半導体生産高の増大を達成するために、集積回路データベースに対して光学近接補正(“OPC”)手続を適用する前に、一般的に半導体設計レイアウト中に物理的設計データを挿入する。設計レイアウトは、集積回路の表現であり、実際の製造において使用される物理的構造に対応する幾何的形状および層を含む。OPC手続の間、設計レイアウト中の小さいジョグエリア、ノッチ、または、他の設計エラーの存在は、データ量のかなりの増加、エラーを含む領域付近の減少したOPC結果、および、設計レイアウトのマスクの検査における困難性の増大を導く。
一般的に、充填型の挿入に続いての検査プロセスにおいて、設計レイアウトが、設計ルールチェック手続(“DRC”)を受け、DRCは、設計ルールを集めたものをレイアウトに適用して、何らかの潜在的な設計ルール違反を検出し、製造プロセスにおける欠陥を最小化させる。1つの例では、1つの潜在的設計ルール違反は、設計レイアウトの幾何的形状と層との間に義務付けられたスペーシング中に、幾何的形状が侵食することに関連する。したがって、設計レイアウトからのジョグエリアおよびノッチの何らかの除去は、DRC−クリーンな設計レイアウトを達成する目的で実行されるべきである。
設計レイアウトからジョグエリアおよびノッチを除去するために、いくつかのアプローチが提案されてきた。1つのこのようなアプローチでは、設計レイアウトの形状の修正によって、ジョグエリアおよびノッチをマニュアルで除去する。しかしながら、このアプローチは、大きな労働力を要し、時間がかかる。
別のアプローチは、適切なパッチを挿入して、設計レイアウト中の対応するジョグエリアを除去するためのスクリプトの使用を含む。しかしながら、このアプローチは、多数の回路設計ルール違反を生み出すかもしれず、引き続いて、適切な設計ルールに準拠するようにこの違反をマニュアルで修正しなければならない。
したがって、設計レイアウト中に存在するジョグエリアおよびノッチが、適切な回路設計ルールに準拠して自動的に除去されるように、設計レイアウト内に充填型を挿入するための方法および装置が必要とされている。
発明の概要
設計レイアウト内に、充填型を挿入する方法および装置を説明する。回路設計レイアウト内の1つ以上のジョグエリアを識別する。引き続いて、回路設計レイアウト内に複数の充填型を挿入し、各充填型は、回路設計レイアウト内の対応するジョグエリアを無くすように構成されている。回路設計レイアウトに適用可能な少なくとも1つの予め定められた設計ルールに違反する、1つ以上の充填型を識別する。次に、予め定められた設計ルールに準拠するように、それらの充填型を適合させる。最後に、回路設計レイアウト内で、予め定められた設計ルールに準拠して、残りの充填型を組み合わせて、回路設計出力レイアウトを形成する。
実施形態の詳細な説明
図1Aから1Fは、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するブロック図である。1つの実施形態では、例えば、スクリプトを使用するコンピュータシステムのような装置または媒体において実行される命令のフロー中で方法が実現される。
図1Aは、複数の幾何的形状および層110、121、122を含む、設計レイアウト100を図示する。層121および122は、凹状のジョグエリア123、124を規定する。設計レイアウト100中のジョグエリアの存在は、光学近接補正(“OPC”)手続を実行する際の困難性の増大を導くかもしれないので、それぞれのジョグエリア123、124上に対応する予め定められた充填型を配置することによって、各ジョグエリア123、124を除去しなければならない。図1Bは、ジョグエリア123、124を補正し、およびジョグエリア123、124を無くすように構成され、フローの間に設計レイアウト100中に挿入されることになる充填型131、132を図示する。
1つの実施形態では、図1Cにおいて示したように、ジョグエリア123、124の識別に引き続いて、フローは設計レイアウト中に充填型131、132を挿入する。特に充填型131は、ジョグエリア123を無くすために挿入され、充填型132は、ジョグエリア124を無くすために挿入される。次に、以下で詳細に説明するようなさらなる処理のために、充填型データを入力設計レイアウトデータにマージする。
図1Dにおいて図示したように、1つの実施形態では、フローは、マージされたデータ上で設計ルールチェック(“DRC”)手続を実行して、設計ルール違反を識別する。例えば、設計ルールが、何らかの挿入された充填型と既存の金属層または形状との間の予め定められたスペーシングを規定する場合、DRC手続は、挿入された充填型131、132を解析し、および、充填型131、132と、それぞれの隣接する幾何的形状または層110、121、122との間のスペーシングを解析して、何れかの充填型131、132が予め定められた設計ルールに違反するか否かを識別する。代わりの実施形態では、DRC手続は設計レイアウト100のために実現された1組の設計ルールの違反をチェックする。
1つの実施形態では、例えば、すべてのポリシリコン関連の違反が1つの単一層上で発生するように、設計ルール違反は層によってまとめられる。充填型131と既存の金属層110との間のスペーシング140は、予め定められた設計スペーシングよりも小さいという設計ルール違反を、フローが識別するとして仮定すると、次に、図1Eにおいて示したように、充填型131、132と、識別されたスペーシング140とが除去され、充填型131が設計ルールに準拠してカスタマイズし得るか否かの決定が行われる。充填型131がカスタマイズ可能である場合、次に、フローは充填型131を修正して、修正された充填型133を取得する。修正された充填型133と層110との間のスペーシングが、上で述べた設計ルールに基づいて予め定められたスペーシングを満たす。最後に、図1Fにおいて示したように、フローは何の違反もない充填型132を設計レイアウト100中に戻して挿入し、設計レイアウト100中に修正された充填型133をさらに挿入して、DRC−クリーンな設計レイアウトを得る。
図2Aから2Fは、設計レイアウト内に充填型を挿入する方法のための代替の実施形態を図示するブロック図である。図2Aは、複数の幾何的形状および層210、221、222を含む、設計レイアウト200を図示する。層221および222は、凹状のジョグエリア223、224を規定する。設計レイアウト200中のジョグエリアの存在は、OPC手続を実行する際の困難性の増大を導くかもしれないので、それぞれのジョグエリア223、224上に対応する予め定められた充填型を配置することによって、各ジョグエリア223、224を除去しなければならない。図2Bは、ジョグエリア223、224を補正し、およびジョグエリア223、224を無くすように構成され、フローの間に設計レイアウト200中に挿入されることになる充填型231、232を図示する。
1つの実施形態では、図2Cにおいて示したように、ジョグエリア223、224の識別に引き続いて、フローは設計レイアウト中に充填型231、232を挿入する。特に充填型231は、ジョグエリア223を無くすために挿入され、充填型232は、ジョグエリア224を無くすために挿入される。次に、以下で詳細に説明するようなさらなる処理のために、充填型データを入力設計レイアウトデータにマージする。
図2Dにおいて図示したように、1つの実施形態では、フローは、マージされたデータ上でDRC手続を実行して、設計ルール違反を識別する。例えば、設計ルールが、何らかの挿入された充填型と既存の金属層または形状との間の予め定められたスペーシングを規定する場合、DRC手続は、挿入された充填型231、232を解析し、および、充填型231、232と、それぞれの隣接する幾何的形状または層210、221、222との間のスペーシングを解析して、何れかの充填型231、232が予め定められた設計ルールに違反するか否かを識別する。代わりの実施形態では、DRC手続は設計レイアウト200のために実現された1組の設計ルールの違反をチェックする。
充填型231と既存の金属層210との間のスペーシング240は、予め定められた設計スペーシングよりも小さいという設計ルール違反をフローが識別するとして仮定すると、次に、図2Eにおいて示したように、充填型231、232と、識別されたスペーシング240とが除去され、充填型231が設計ルールに準拠してカスタマイズし得るか否かの決定が行われる。充填型231がカスタマイズ可能でない場合、次に、フローは充填型231を除去および廃棄する。最後に、図2Fにおいて示したように、フローは何の違反もない充填型232を設計レイアウト200中に戻して挿入し、DRC−クリーンな設計レイアウトを得る。
図3は、設計レイアウト内に充填型を挿入する装置のための1つの実施形態を図示するブロック図である。図3に図示したように、1つの実施形態において、装置300は、回路設計レイアウトデータ、充填型データ、設計レイアウトデータと充填型データとの間でマージされたデータ、および他のデータを記憶する回路データベース310をさらに含む。
1つの実施形態では、装置300は、回路データベース310に結合され、設計レイアウトデータを含む入力データを受け取り、設計レイアウト内のジョグエリアを識別する、ジョグ識別モジュール320をさらに含む。
装置300は、ジョグ識別モジュール320および回路データベース310に結合され、設計レイアウトデータおよび識別されたジョグエリアを受け取り、ジョグエリアを無くすために設計レイアウト内で充填型を作成および挿入し、設計レイアウトデータを含む入力データに充填型をマージする、形状処理モジュール330をさらに含む。
装置は、形状処理モジュール330に結合され、マージされたデータを受け取り、対応する設計レイアウトに関係付けられた少なくとも1つの予め定められた設計ルールに違反する充填型を識別するために設計ルールチェック手続を実行する、設計ルールチェック(“DRC”)モジュール340をさらに含む。例えば、設計ルールが、何れかの挿入された充填型と既存の金属層または形状との間の予め定められたスペーシングを規定する場合、DRCモジュール340は、回路設計レイアウト内に挿入された充填型と層との間のスペーシングを解析して、このスペーシングが、予め定められた設計ルールにおいて提供された予め定められたスペーシングよりも小さいか否かを識別する。
DRCモジュール340は、設計ルールチェック手続の結果、すなわち何らかの設計ルール違反を、形状処理モジュール330にさらに送信し、形状処理モジュール330は、それぞれの充填型がカスタマイズ可能である場合、設計ルールに準拠するように充填型を修正することによって、または、代替においては、設計レイアウトから完全に充填型を除去することによって、設計ルール違反に関係付けられた充填型を適合させる。最後に、形状処理モジュール330が、設計レイアウト内の、カスタマイズされた充填型、または、違反のない充填型の何れかである、残りの充填型を組み合せて、DRC−クリーンな設計出力レイアウトを形成する。
図4は、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するフロー図である。図4に図示したように、1つの実施形態では、処理ブロック410において、回路設計レイアウトデータを含む入力データを受け取る。
処理ブロック420において、回路設計レイアウト内のジョグエリアを識別する。処理ブロック430において、設計レイアウト内に充填型を挿入し、各充填型は対応するジョグエリアを無くすように構成されている。
処理ブロック440において、充填型データを、設計レイアウトデータを含む入力データにマージして、マージされたデータを作成する。処理ブロック450において、反復設計ルールチェック手続を実行して、少なくとも1つの予め定められた設計ルールに違反する何らかの充填型を識別する。
処理ブロック460において、少なくとも1つの予め定められた設計ルールに違反するとして識別された充填型がカスタマイズ可能であるか否かの決定が行われる。充填型がカスタマイズ可能である場合、処理ブロック470において、1つ以上の予め定められた設計ルールに準拠するように充填型をカスタマイズする。次に、引き続いて識別された充填型に対して、処理ブロック450および460を繰り返す。
そうではなく、充填型がカスタマイズ可能でない場合、処理ブロック480において、充填型を除去し、引き続いて識別された充填型に対して、処理ブロック450から480を繰り返す。最後に、処理ブロック490において、設計レイアウト内の残りの充填型を組み合わせて、何の設計ルール違反もない設計出力レイアウトを形成する。
図5は、上で述べた技法のうちの任意のものを機械に実行させる1組の命令を実行してもよい、コンピュータシステム500の例示的な形態における機械を表した図である。代替の実施形態では、機械はネットワークルータ、ネットワークスイッチ、パーソナルデジタルアシスタント(PDA)、セルラ電話機、ウェブ機器、または、その機械によって行われるアクションを指定する一連の命令を実行することができる、任意の機械もしくはコンピューティングデバイスを含んでもよい。
コンピュータシステム500は、プロセッサ502、メインメモリ504、および静的メモリ506を含み、これらはバス508を通して互いに通信する。コンピュータシステム500は、ビデオディスプレイユニット510、例えば液晶ディスプレイ(LCD)またはブラウン管(CRT)をさらに含んでもよい。コンピュータシステム500は、英数字入力デバイス512、例えばキーボードと、カーソル制御デバイス514、例えばマウスと、ディスク駆動ユニット516と、信号発生デバイス520、例えばスピーカーと、ネットワークインターフェースデバイス522とを含んでもよい。
ディスク駆動ユニット516は、1組の命令、すなわちソフトウェア526が記憶された機械読取可能媒体524を含み、ソフトウェア526は、上で説明した技法のうちの任意のもの、またはすべてを実現する。ソフトウェア526は、メインメモリ504内および/またはプロセッサ502内に、完全に、または少なくとも部分的に、存在することも示されている。ネットワークインターフェースデバイス522を通して、ソフトウェア526をさらに送信または受信してもよい。
当業者は、さまざまな異なる技術および技法を使用して情報および信号を表してもよいことを理解するだろう。例えば、上の説明を通して参照された、データ、命令、コマンド、情報、信号、ビット、シンボルおよびチップは、電圧、電流、電磁波、磁界または磁気の粒子、光学界または光の粒子、あるいはこれらの何らかの組み合わせにより、表してもよい。
ここで開示した実施形態に関連して述べられた、さまざまな例示的な論理ブロック、モジュール、回路およびアルゴリズムステップが、電子ハードウェア、コンピュータソフトウェア、あるいは双方の組み合わせたものとして実現されてもよいことを当業者はさらに正しく認識するであろう。ハードウェアおよびソフトウェアの交換可能性を明確に図示するために、さまざまな例示的な構成部品、ブロック、モジュール、回路およびステップを一般的にこれらの機能に関して上述した。このような機能がハードウェアあるいはソフトウェアとして実現されるか否かは、特定の応用および全体的なシステムに課せられた設計の制約に依存する。当業者は、それぞれの特定の応用に対して方法を変化させて、述べてきた機能を実現してもよいが、このような実現決定は、本発明の範囲からの逸脱を生じさせるものとして解釈すべきではない。
ここで開示した実施形態に関連して述べた、さまざまな例示的な論理的ブロック、モジュールおよび回路は、汎用プロセッサ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)、フィールドプログラム可能ゲートアレイ(FPGA)または他のプログラム可能論理デバイス、ディスクリートゲートまたはトランジスタ論理、ディスクリートハードウェア構成部品、あるいは、ここで述べてきた機能を実施するために設計されたこれらの組み合わせで、実現されるか、あるいは、実施されてもよい。汎用プロセッサはマイクロプロセッサであってもよいが、代替実施形態では、プロセッサは、何らかの従来のプロセッサ、制御装置、マイクロ制御装置、状態機械であってもよい。プロセッサはまた、コンピューティングデバイスの組み合わせとして、例えば、DSPとマイクロプロセッサの組み合わせ、複数のマイクロプロセッサ、DSPコアを備えた1つ以上のマイクロプロセッサ、あるいは、このような構成の他の何らかのものとして実現してもよい。
ここで開示した実施形態と関連して述べた方法またはアルゴリズムのステップは、直接、ハードウェアで、プロセッサにより実行されるソフトウェアモジュールで、あるいは、2つの組み合わせで具体化してもよい。ソフトウェアモジュールは、RAMメモリ、フラッシュメモリ、ROMメモリ、電気的プログラム可能ROM(EPROM)メモリ、電気的消去可能プログラム可能ROM(EEPROM)メモリ、レジスタ、ハードディスク、リムーブバルディスク、CD−ROM、あるいは、技術的に知られている他の何らかの形態の記憶媒体に存在していてもよい。例示的な記憶媒体は、プロセッサが記憶媒体から情報を読み取り、記憶媒体に情報を書き込むことができるようにプロセッサに結合される。代替実施形態では、記憶媒体はプロセッサと一体化されてもよい。プロセッサおよび記憶媒体は、ASICに存在してもよい。ASICはユーザ端末に存在してもよい。代替実施形態では、プロセッサおよび記憶媒体は、ユーザ端末中のディスクリート構成部品として存在してもよい。
開示した実施形態のこれまでの記述は、当業者が本発明を製作または使用できるように提供した。これらの実施形態に対するさまざま改良は当業者に容易に明らかとなり、ここに定義された一般的な原理は、本発明の精神および範囲を逸脱することなく、他の実施形態に適用されてもよい。したがって、本発明はここに示された実施形態に限定されることを意図しているものではなく、ここで開示されている原理および新しい特徴と一致した最も広い範囲に一致させるべきである。
図1Aは、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するブロック図である。 図1Bは、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するブロック図である。 図1Cは、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するブロック図である。 図1Dは、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するブロック図である。 図1Eは、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するブロック図である。 図1Fは、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するブロック図である。 図2Aは、設計レイアウト内に充填型を挿入する方法のための代替の実施形態を図示するブロック図である。 図2Bは、設計レイアウト内に充填型を挿入する方法のための代替の実施形態を図示するブロック図である。 図2Cは、設計レイアウト内に充填型を挿入する方法のための代替の実施形態を図示するブロック図である。 図2Dは、設計レイアウト内に充填型を挿入する方法のための代替の実施形態を図示するブロック図である。 図2Eは、設計レイアウト内に充填型を挿入する方法のための代替の実施形態を図示するブロック図である。 図2Fは、設計レイアウト内に充填型を挿入する方法のための代替の実施形態を図示するブロック図である。 図3は、設計レイアウト内に充填型を挿入する装置のための1つの実施形態を図示するブロック図である。 図4は、設計レイアウト内に充填型を挿入する方法のための1つの実施形態を図示するフロー図である。 図5は、1組の命令を実行してもよいコンピュータシステムの例示的な形態における機械を表した図である。

Claims (40)

  1. 回路設計レイアウト内に、複数の充填型を挿入することと、
    前記回路設計レイアウトに適用可能な少なくとも1つの予め定められた設計ルールに違反する、前記複数の充填型のうちの少なくとも1つの充填型を識別することと、
    前記少なくとも1つの予め定められた設計ルールに準拠するように、前記少なくとも1つの充填型を適合させることと、
    前記回路設計レイアウト内で、前記少なくとも1つの予め定められた設計ルールに準拠して、残りの充填型を組み合わせて、回路設計出力レイアウトを形成することと
    を含み、
    前記複数の充填型の各充填型は、前記回路設計レイアウト内の複数のジョグエリアの対応するジョグエリアを無くすように構成されている方法。
  2. 前記回路設計レイアウト内の前記複数のジョグエリアの各ジョグエリアを識別することをさらに含む、請求項1記載の方法。
  3. 前記回路設計レイアウトデータを含む入力データを受け取ることと、
    前記入力データを前記複数の充填型に対応するデータにマージして、マージされたデータを作成することと
    をさらに含む請求項1記載の方法。
  4. 前記マージされたデータから、前記少なくとも1つの予め定められた設計ルールに違反する前記少なくとも1つの充填型を識別することをさらに含む請求項3記載の方法。
  5. 前記適合させることは、前記少なくとも1つの予め定められた設計ルールに準拠するように、前記少なくとも1つの充填型を修正することをさらに含む請求項1記載の方法。
  6. 前記適合させることは、前記回路設計レイアウトから、前記少なくとも1つの充填型を除去および廃棄することをさらに含む請求項1記載の方法。
  7. 前記少なくとも1つの予め定められた設計ルールは、前記回路設計レイアウト内の、前記少なくとも1つの充填型と複数の隣接する層との間の予め定められたスペーシングをさらに含む請求項1記載の方法。
  8. 前記識別することは、
    前記回路設計レイアウト内の、前記少なくとも1つの充填型と前記複数の隣接する層の各層との間のスペーシングを解析することと、
    前記スペーシングが、前記少なくとも1つの予め定められた設計ルールの前記予め定められたスペーシングよりも小さいか否かを決定することと
    をさらに含む請求項7記載の方法。
  9. 前記複数の隣接する層の各層は金属層である請求項7記載の方法。
  10. 前記識別することは、前記回路設計レイアウト上で設計ルールチェック手続を実行することをさらに含む請求項1記載の方法。
  11. 回路設計レイアウト内に、複数の充填型を挿入する形状処理モジュールと、
    前記形状処理モジュールに結合され、前記回路設計レイアウトに適用可能な少なくとも1つの予め定められた設計ルールに違反する、前記複数の充填型のうちの少なくとも1つの充填型を識別する設計ルールチェックモジュールと
    を具備し、
    前記形状処理モジュールは、前記少なくとも1つの予め定められた設計ルールに準拠するように、前記少なくとも1つの充填型をさらに適合させ、前記回路設計レイアウト内で、前記少なくとも1つの予め定められた設計ルールに準拠して、残りの充填型を組み合わせて、回路設計出力レイアウトを形成し、
    前記複数の充填型の各充填型は、前記回路設計レイアウト内の複数のジョグエリアの対応するジョグエリアを無くすように構成されている装置。
  12. 前記形状処理モジュールに結合され、前記回路設計レイアウト内の前記複数のジョグエリアの各ジョグエリアを識別するジョグ識別モジュールをさらに具備する、請求項11記載の装置。
  13. 前記形状処理モジュールは、
    前記形状処理モジュールおよび前記設計ルールチェックモジュールに結合された回路データベースから前記回路設計レイアウトデータを含む入力データをさらに受け取り、
    前記入力データを前記複数の充填型に対応するデータにマージして、マージされたデータを作成する請求項11記載の装置。
  14. 前記設計ルールチェックモジュールは、前記マージされたデータから、前記少なくとも1つの予め定められた設計ルールに違反する前記少なくとも1つの充填型をさらに識別する請求項13記載の装置。
  15. 前記形状処理モジュールは、前記少なくとも1つの予め定められた設計ルールに準拠するように、前記少なくとも1つの充填型をさらに修正する請求項11記載の装置。
  16. 前記形状処理モジュールは、前記回路設計レイアウトから、前記少なくとも1つの充填型をさらに除去および廃棄する請求項11記載の装置。
  17. 前記少なくとも1つの予め定められた設計ルールは、前記回路設計レイアウト内の、前記少なくとも1つの充填型と複数の隣接する層との間の予め定められたスペーシングをさらに含む請求項11記載の装置。
  18. 前記設計ルールチェックモジュールは、
    前記回路設計レイアウト内の、前記少なくとも1つの充填型と前記複数の隣接する層の各層との間のスペーシングをさらに解析し、
    前記スペーシングが、前記少なくとも1つの予め定められた設計ルールの前記予め定められたスペーシングよりも小さいか否かを決定する請求項17記載の装置。
  19. 前記複数の隣接する層の各層は金属層である請求項17記載の装置。
  20. 前記設計ルールチェックモジュールは、前記回路設計レイアウト上で設計ルールチェック手続をさらに実行する請求項11記載の装置。
  21. 回路設計レイアウト内に、複数の充填型を挿入する手段と、
    前記回路設計レイアウトに適用可能な少なくとも1つの予め定められた設計ルールに違反する、前記複数の充填型のうちの少なくとも1つの充填型を識別する手段と、
    前記少なくとも1つの予め定められた設計ルールに準拠するように、前記少なくとも1つの充填型を適合させる手段と、
    前記回路設計レイアウト内で、前記少なくとも1つの予め定められた設計ルールに準拠して、残りの充填型を組み合わせて、回路設計出力レイアウトを形成する手段と
    を具備し、
    前記複数の充填型の各充填型は、前記回路設計レイアウト内の複数のジョグエリアの対応するジョグエリアを無くすように構成されている装置。
  22. 前記回路設計レイアウト内の前記複数のジョグエリアの各ジョグエリアを識別する手段をさらに具備する、請求項21記載の装置。
  23. 前記回路設計レイアウトデータを含む入力データを受け取る手段と、
    前記入力データを前記複数の充填型に対応するデータにマージして、マージされたデータを作成する手段と
    をさらに具備する請求項21記載の装置。
  24. 前記マージされたデータから、前記少なくとも1つの予め定められた設計ルールに違反する前記少なくとも1つの充填型を識別する手段をさらに具備する請求項23記載の装置。
  25. 前記少なくとも1つの予め定められた設計ルールに準拠するように、前記少なくとも1つの充填型を修正する手段をさらに具備する請求項21記載の装置。
  26. 前記回路設計レイアウトから、前記少なくとも1つの充填型を除去および廃棄する手段をさらに具備する請求項21記載の装置。
  27. 前記少なくとも1つの予め定められた設計ルールは、前記回路設計レイアウト内の、前記少なくとも1つの充填型と複数の隣接する層との間の予め定められたスペーシングをさらに含む請求項21記載の装置。
  28. 前記回路設計レイアウト内の、前記少なくとも1つの充填型と前記複数の隣接する層の各層との間のスペーシングを解析する手段と、
    前記スペーシングが、前記少なくとも1つの予め定められた設計ルールの前記予め定められたスペーシングよりも小さいか否かを決定する手段と
    をさらに具備する請求項27記載の装置。
  29. 前記複数の隣接する層の各層は金属層である請求項27記載の装置。
  30. 前記回路設計レイアウト上で設計ルールチェック手続を実行する手段をさらに具備する請求項21記載の装置。
  31. 処理システムにおいて実行される時に、前記処理システムに方法を実行させる実行可能命令を有するコンピュータ読取可能媒体において、
    前記方法は、
    回路設計レイアウト内に、複数の充填型を挿入することと、
    前記回路設計レイアウトに適用可能な少なくとも1つの予め定められた設計ルールに違反する、前記複数の充填型のうちの少なくとも1つの充填型を識別することと、
    前記少なくとも1つの予め定められた設計ルールに準拠するように、前記少なくとも1つの充填型を適合させることと、
    前記回路設計レイアウト内で、前記少なくとも1つの予め定められた設計ルールに準拠して、残りの充填型を組み合わせて、回路設計出力レイアウトを形成することと
    を含み、
    前記複数の充填型の各充填型は、前記回路設計レイアウト内の複数のジョグエリアの対応するジョグエリアを無くすように構成されているコンピュータ読取可能媒体。
  32. 前記方法は、前記回路設計レイアウト内の前記複数のジョグエリアの各ジョグエリアを識別することをさらに含む請求項31記載のコンピュータ読取可能媒体。
  33. 前記方法は、
    前記回路設計レイアウトデータを含む入力データを受け取ることと、
    前記入力データを前記複数の充填型に対応するデータにマージして、マージされたデータを作成することと
    をさらに含む請求項31記載のコンピュータ読取可能媒体。
  34. 前記方法は、前記マージされたデータから、前記少なくとも1つの予め定められた設計ルールに違反する前記少なくとも1つの充填型を識別することをさらに含む請求項33記載のコンピュータ読取可能媒体。
  35. 前記適合させることは、前記少なくとも1つの予め定められた設計ルールに準拠するように、前記少なくとも1つの充填型を修正することをさらに有する請求項31記載のコンピュータ読取可能媒体。
  36. 前記適合させることは、前記回路設計レイアウトから、前記少なくとも1つの充填型を除去および廃棄することをさらに有する請求項31記載のコンピュータ読取可能媒体。
  37. 前記少なくとも1つの予め定められた設計ルールは、前記回路設計レイアウト内の、前記少なくとも1つの充填型と複数の隣接する層との間の予め定められたスペーシングをさらに含む請求項31記載のコンピュータ読取可能媒体。
  38. 前記識別することは、
    前記回路設計レイアウト内の、前記少なくとも1つの充填型と前記複数の隣接する層の各層との間のスペーシングを解析することと、
    前記スペーシングが、前記少なくとも1つの予め定められた設計ルールの前記予め定められたスペーシングよりも小さいか否かを決定することと
    をさらに有する請求項37記載のコンピュータ読取可能媒体。
  39. 前記複数の隣接する層の各層は金属層である請求項37記載のコンピュータ読取可能媒体。
  40. 前記識別することは、前記回路設計レイアウト上で設計ルールチェック手続を実行することをさらに有する請求項31記載のコンピュータ読取可能媒体。
JP2008554526A 2006-02-09 2007-02-09 設計レイアウト内に充填型を挿入する方法と装置 Pending JP2009526276A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/352,488 US7681170B2 (en) 2006-02-09 2006-02-09 Method and apparatus for insertion of filling forms within a design layout
PCT/US2007/061941 WO2007092960A1 (en) 2006-02-09 2007-02-09 Method and apparatus for insertion of filling forms within a design layout

Publications (1)

Publication Number Publication Date
JP2009526276A true JP2009526276A (ja) 2009-07-16

Family

ID=38198013

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008554526A Pending JP2009526276A (ja) 2006-02-09 2007-02-09 設計レイアウト内に充填型を挿入する方法と装置

Country Status (6)

Country Link
US (1) US7681170B2 (ja)
EP (1) EP1982281A1 (ja)
JP (1) JP2009526276A (ja)
KR (1) KR20080102176A (ja)
CN (1) CN101379499A (ja)
WO (1) WO2007092960A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070192754A1 (en) * 2006-02-14 2007-08-16 Markus Hofsaess Method for treating design errors of a layout of an integrated circuit
KR100847842B1 (ko) * 2007-06-26 2008-07-23 주식회사 동부하이텍 반도체 소자의 마스크 제작방법
US8225256B2 (en) * 2009-03-13 2012-07-17 Synopsys, Inc. Method and apparatus for accelerating project start and tape-out
US9136092B2 (en) * 2012-04-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam writing
KR102592599B1 (ko) 2016-05-12 2023-10-24 삼성전자주식회사 반도체 집적회로 레이아웃의 검증 방법 및 이를 수행하는 컴퓨터 시스템
CN106094422A (zh) * 2016-08-19 2016-11-09 上海华力微电子有限公司 一种简化opc后掩模版图形的方法
US10628544B2 (en) * 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
CN110717309B (zh) * 2019-10-10 2023-10-24 飞腾信息技术有限公司 冗余金属填充方法、装置、设备及计算机可读存储介质
CN111083878B (zh) * 2020-01-17 2024-03-01 广州兴森快捷电路科技有限公司 阻焊层间隙填充方法、装置、设备及存储介质
CN112966458B (zh) * 2021-04-07 2022-07-26 北京华大九天科技股份有限公司 图形处理方法、电子设备、服务器和存储介质
CN113312873B (zh) * 2021-05-24 2023-03-14 海光信息技术股份有限公司 一种电路版图设计方法、装置、掩膜板及电子设备

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08286358A (ja) * 1995-04-17 1996-11-01 Internatl Business Mach Corp <Ibm> 改善された光学的近接補正システム
JPH1069058A (ja) * 1996-05-30 1998-03-10 Internatl Business Mach Corp <Ibm> 光学的近接補正方法
JPH10209289A (ja) * 1997-01-10 1998-08-07 Internatl Business Mach Corp <Ibm> Vlsi回路設計における素子長補償方法およびシステム
US20030014731A1 (en) * 2001-07-10 2003-01-16 Lacour Patrick Joseph Space classification for resolution enhancement techniques
JP2004273962A (ja) * 2003-03-12 2004-09-30 Renesas Technology Corp 薄膜デバイスの設計方法および製造方法、ならびに半導体製造装置
JP2004334065A (ja) * 2003-05-12 2004-11-25 United Microelectronics Corp 光近接効果補正の方法
JP2006113278A (ja) * 2004-10-14 2006-04-27 Sony Corp マスクの検査装置およびその方法
JP2006173186A (ja) * 2004-12-13 2006-06-29 Toshiba Corp 半導体装置、パターンレイアウト作成方法および露光マスク
JP2007065246A (ja) * 2005-08-31 2007-03-15 Fujitsu Ltd 露光用マスク、マスクパターン補正方法、及び、半導体装置
JP2007102207A (ja) * 2005-09-08 2007-04-19 Takumi Technology Corp 複雑度低減のためのルールベース光学近接効果補正における可変バイアス・ルールの作成および適用

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW495686B (en) 1998-10-19 2002-07-21 David S Chapman Approach for routing an integrated circuit
US6892368B2 (en) 2002-06-10 2005-05-10 Sun Microsystems, Inc. Patching technique for correction of minimum area and jog design rule violations
US7487490B2 (en) * 2004-03-30 2009-02-03 Youping Zhang System for simplifying layout processing
US7251806B2 (en) * 2004-04-09 2007-07-31 Synopsys, Inc. Model-based two-dimensional interpretation filtering
US7908572B2 (en) * 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
US8037429B2 (en) * 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
US7458059B2 (en) * 2005-10-31 2008-11-25 Synopsys, Inc. Model of sensitivity of a simulated layout to a change in original layout, and use of model in proximity correction

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08286358A (ja) * 1995-04-17 1996-11-01 Internatl Business Mach Corp <Ibm> 改善された光学的近接補正システム
JPH1069058A (ja) * 1996-05-30 1998-03-10 Internatl Business Mach Corp <Ibm> 光学的近接補正方法
JPH10209289A (ja) * 1997-01-10 1998-08-07 Internatl Business Mach Corp <Ibm> Vlsi回路設計における素子長補償方法およびシステム
US20030014731A1 (en) * 2001-07-10 2003-01-16 Lacour Patrick Joseph Space classification for resolution enhancement techniques
JP2004273962A (ja) * 2003-03-12 2004-09-30 Renesas Technology Corp 薄膜デバイスの設計方法および製造方法、ならびに半導体製造装置
JP2004334065A (ja) * 2003-05-12 2004-11-25 United Microelectronics Corp 光近接効果補正の方法
JP2006113278A (ja) * 2004-10-14 2006-04-27 Sony Corp マスクの検査装置およびその方法
JP2006173186A (ja) * 2004-12-13 2006-06-29 Toshiba Corp 半導体装置、パターンレイアウト作成方法および露光マスク
JP2007065246A (ja) * 2005-08-31 2007-03-15 Fujitsu Ltd 露光用マスク、マスクパターン補正方法、及び、半導体装置
JP2007102207A (ja) * 2005-09-08 2007-04-19 Takumi Technology Corp 複雑度低減のためのルールベース光学近接効果補正における可変バイアス・ルールの作成および適用

Also Published As

Publication number Publication date
CN101379499A (zh) 2009-03-04
US7681170B2 (en) 2010-03-16
KR20080102176A (ko) 2008-11-24
EP1982281A1 (en) 2008-10-22
US20070186202A1 (en) 2007-08-09
WO2007092960A1 (en) 2007-08-16

Similar Documents

Publication Publication Date Title
JP2009526276A (ja) 設計レイアウト内に充填型を挿入する方法と装置
US8788995B1 (en) System and method for guiding remedial transformations of a circuit design defined by physical implementation data to reduce needed physical corrections for detected timing violations in the circuit design
US7552409B2 (en) Engineering change order process optimization
US8266570B2 (en) Density-based area recovery in electronic design automation
US8079004B2 (en) Efficient exhaustive path-based static timing analysis using a fast estimation technique
US9165102B1 (en) Routing standard cell-based integrated circuits
US8020120B2 (en) Layout quality gauge for integrated circuit design
KR20090077692A (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 프로그램 및반도체 장치의 제조 시스템
CN108959693B (zh) 设计集成电路的方法,以及制造集成电路的方法
US9405882B1 (en) High performance static timing analysis system and method for input/output interfaces
US10339258B2 (en) Look-ahead timing prediction for multi-instance module (MIM) engineering change order (ECO)
US20150199468A1 (en) Method and apparatus for selecting data path elements for cloning
US7793238B1 (en) Method and apparatus for improving a circuit layout using a hierarchical layout description
US7913213B2 (en) Tool and method for automatically identifying minimum timing violation corrections in an integrated circuit design
JP2004185374A (ja) クロストークチェック方法
US7958468B2 (en) Unidirectional relabeling for subcircuit recognition
US8527927B2 (en) Zone-based area recovery in electronic design automation
US20060041852A1 (en) Targeted optimization of buffer-tree logic
US20090172629A1 (en) Validating continuous signal phase matching in high-speed nets routed as differential pairs
US9747405B2 (en) Buffer chain management for alleviating routing congestion
CN115587569A (zh) 芯片版图的设计规则检查方法、系统及存储介质
US8108821B2 (en) Reduction of logic and delay through latch polarity inversion
US8132141B2 (en) Method and apparatus for generating a centerline connectivity representation
US20100042569A1 (en) Approximation of a clock gating function via bdd path elimination
US8694926B2 (en) Techniques for checking computer-aided design layers of a device to reduce the occurrence of missing deck rules

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110517

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111018