JP2009521098A - 歪み補償の準安定化合物ベースヘテロ接合バイポーラトランジスタ - Google Patents

歪み補償の準安定化合物ベースヘテロ接合バイポーラトランジスタ Download PDF

Info

Publication number
JP2009521098A
JP2009521098A JP2008540379A JP2008540379A JP2009521098A JP 2009521098 A JP2009521098 A JP 2009521098A JP 2008540379 A JP2008540379 A JP 2008540379A JP 2008540379 A JP2008540379 A JP 2008540379A JP 2009521098 A JP2009521098 A JP 2009521098A
Authority
JP
Japan
Prior art keywords
compound semiconductor
strain
film
semiconductor material
sige
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008540379A
Other languages
English (en)
Japanese (ja)
Inventor
エニックス,ダーウィン・ジー
チャフィー,ジョン・ティー
カーバー,ダミアン・エイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Atmel Corp
Original Assignee
Atmel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atmel Corp filed Critical Atmel Corp
Publication of JP2009521098A publication Critical patent/JP2009521098A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66242Heterojunction transistors [HBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/737Hetero-junction transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Bipolar Transistors (AREA)
  • Recrystallisation Techniques (AREA)
JP2008540379A 2005-11-07 2006-11-03 歪み補償の準安定化合物ベースヘテロ接合バイポーラトランジスタ Withdrawn JP2009521098A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/268,154 US20070102834A1 (en) 2005-11-07 2005-11-07 Strain-compensated metastable compound base heterojunction bipolar transistor
PCT/US2006/060555 WO2007056708A2 (en) 2005-11-07 2006-11-03 A strain-compensated metastable compound base heterojunction bipolar transistor

Publications (1)

Publication Number Publication Date
JP2009521098A true JP2009521098A (ja) 2009-05-28

Family

ID=38002926

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008540379A Withdrawn JP2009521098A (ja) 2005-11-07 2006-11-03 歪み補償の準安定化合物ベースヘテロ接合バイポーラトランジスタ

Country Status (7)

Country Link
US (1) US20070102834A1 (zh)
EP (1) EP1949420A2 (zh)
JP (1) JP2009521098A (zh)
KR (1) KR20080075143A (zh)
CN (1) CN101506943A (zh)
TW (1) TW200802851A (zh)
WO (1) WO2007056708A2 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US20070262295A1 (en) * 2006-05-11 2007-11-15 Atmel Corporation A method for manipulation of oxygen within semiconductor materials
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7553717B2 (en) * 2007-05-11 2009-06-30 Texas Instruments Incorporated Recess etch for epitaxial SiGe
US20090189159A1 (en) * 2008-01-28 2009-07-30 Atmel Corporation Gettering layer on substrate
SE535380C2 (sv) * 2011-01-31 2012-07-17 Fairchild Semiconductor Bipolär transistor i kiselkarbid med övervuxen emitter
US9048108B2 (en) * 2012-05-22 2015-06-02 International Business Machines Corporation Integrated circuit with on chip planar diode and CMOS devices
US9385233B2 (en) 2013-06-26 2016-07-05 Globalfoundries Inc. Bulk finFET with partial dielectric isolation featuring a punch-through stopping layer under the oxide
US9716174B2 (en) 2013-07-18 2017-07-25 Globalfoundries Inc. Electrical isolation of FinFET active region by selective oxidation of sacrificial layer
US9224865B2 (en) 2013-07-18 2015-12-29 Globalfoundries Inc. FinFET with insulator under channel
US9349730B2 (en) 2013-07-18 2016-05-24 Globalfoundries Inc. Fin transformation process and isolation structures facilitating different Fin isolation schemes
US9093496B2 (en) 2013-07-18 2015-07-28 Globalfoundries Inc. Process for faciltiating fin isolation schemes
US9105677B2 (en) 2013-10-22 2015-08-11 International Business Machines Corporation Base profile of self-aligned bipolar transistors for power amplifier applications

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652183A (en) * 1979-02-16 1987-03-24 United Technologies Corporation Amorphous boron-carbon alloy tool bits and methods of making the same
US4459739A (en) * 1981-05-26 1984-07-17 Northern Telecom Limited Thin film transistors
US4908325A (en) * 1985-09-15 1990-03-13 Trw Inc. Method of making heterojunction transistors with wide band-gap stop etch layer
US4701423A (en) * 1985-12-20 1987-10-20 Ncr Corporation Totally self-aligned CMOS process
DE69032597T2 (de) * 1990-02-20 1999-03-25 Kabushiki Kaisha Toshiba, Kawasaki, Kanagawa Bipolartransistor mit Heteroübergang
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5241214A (en) * 1991-04-29 1993-08-31 Massachusetts Institute Of Technology Oxides and nitrides of metastabale group iv alloys and nitrides of group iv elements and semiconductor devices formed thereof
JPH05175216A (ja) * 1991-12-24 1993-07-13 Rohm Co Ltd ヘテロ接合バイポーラトランジスタおよびその製法
US5331659A (en) * 1992-03-13 1994-07-19 Sony Corporation Optical semiconductor device
US5965931A (en) * 1993-04-19 1999-10-12 The Board Of Regents Of The University Of California Bipolar transistor having base region with coupled delta layers
US5453399A (en) * 1993-10-06 1995-09-26 Texas Instruments Incorporated Method of making semiconductor-on-insulator structure
US6639262B2 (en) * 1993-12-10 2003-10-28 Symetrix Corporation Metal oxide integrated circuit on silicon germanium substrate
US5466949A (en) * 1994-08-04 1995-11-14 Texas Instruments Incorporated Silicon oxide germanium resonant tunneling
US5804834A (en) * 1994-10-28 1998-09-08 Mitsubishi Chemical Corporation Semiconductor device having contact resistance reducing layer
EP0799495A4 (en) * 1994-11-10 1999-11-03 Lawrence Semiconductor Researc SILICON-GERMANIUM-CARBON COMPOSITIONS AND RELATED PROCESSES
KR0148599B1 (ko) * 1994-11-15 1998-12-01 양승택 유전체 박막상의 무결함 화합물 반도체 박막의 제조방법
US5856685A (en) * 1995-02-22 1999-01-05 Nec Corporation Heterojunction field effect transistor
US5620907A (en) * 1995-04-10 1997-04-15 Lucent Technologies Inc. Method for making a heterojunction bipolar transistor
DE19533313A1 (de) * 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
US6720627B1 (en) * 1995-10-04 2004-04-13 Sharp Kabushiki Kaisha Semiconductor device having junction depths for reducing short channel effect
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6800881B2 (en) * 1996-12-09 2004-10-05 Ihp Gmbh-Innovations For High Performance Microelectronics/Institut Fur Innovative Mikroelektronik Silicon-germanium hetero bipolar transistor with T-shaped implantation layer between emitter and emitter contact area
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6107647A (en) * 1997-05-15 2000-08-22 Rohm Co. Ltd. Semiconductor AlGaInP light emitting device
WO1998059365A1 (en) * 1997-06-24 1998-12-30 Massachusetts Institute Of Technology CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
JP2000349264A (ja) * 1998-12-04 2000-12-15 Canon Inc 半導体ウエハの製造方法、使用方法および利用方法
FR2795871B1 (fr) * 1999-07-01 2001-09-14 Picogiga Sa Transistor iii-v a heterojonction, notamment transistor a effet de champ hemt ou transistor bipolaire a heterojonction
US6744079B2 (en) * 2002-03-08 2004-06-01 International Business Machines Corporation Optimized blocking impurity placement for SiGe HBTs
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
JP2001189478A (ja) * 1999-12-28 2001-07-10 Sanyo Electric Co Ltd 半導体素子及びその製造方法
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
TW483171B (en) * 2000-03-16 2002-04-11 Trw Inc Ultra high speed heterojunction bipolar transistor having a cantilevered base.
WO2003092047A2 (en) * 2002-04-26 2003-11-06 The University Of Connecticut Center Of Science & Technology Commercialization THz DETECTION EMPLOYING MODULATION DOPED QUANTUM WELL DEVICE STRUCTURES
GB0010825D0 (en) * 2000-05-04 2000-06-28 Varintelligent Bvi Ltd Matrix driving schemes for cholesteric liquid crystal displays
US6362065B1 (en) * 2001-02-26 2002-03-26 Texas Instruments Incorporated Blocking of boron diffusion through the emitter-emitter poly interface in PNP HBTs through use of a SiC layer at the top of the emitter epi layer
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6855649B2 (en) * 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
DE10141352A1 (de) * 2001-08-23 2003-06-05 Osram Opto Semiconductors Gmbh Verfahren zur Oberflächenbehandlung eines Halbleiters
JP4060580B2 (ja) * 2001-11-29 2008-03-12 株式会社ルネサステクノロジ ヘテロ接合バイポーラトランジスタ
US6670654B2 (en) * 2002-01-09 2003-12-30 International Business Machines Corporation Silicon germanium heterojunction bipolar transistor with carbon incorporation
US6656809B2 (en) * 2002-01-15 2003-12-02 International Business Machines Corporation Method to fabricate SiGe HBTs with controlled current gain and improved breakdown voltage characteristics
US6746902B2 (en) * 2002-01-31 2004-06-08 Sharp Laboratories Of America, Inc. Method to form relaxed sige layer with high ge content
US7226504B2 (en) * 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
JP3746246B2 (ja) * 2002-04-16 2006-02-15 株式会社東芝 半導体装置の製造方法
US6586297B1 (en) * 2002-06-01 2003-07-01 Newport Fab, Llc Method for integrating a metastable base into a high-performance HBT and related structure
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US6992004B1 (en) * 2002-07-31 2006-01-31 Advanced Micro Devices, Inc. Implanted barrier layer to improve line reliability and method of forming same
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
EP1439570A1 (en) * 2003-01-14 2004-07-21 Interuniversitair Microelektronica Centrum ( Imec) SiGe strain relaxed buffer for high mobility devices and a method of fabricating it
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
JP4322255B2 (ja) * 2003-08-05 2009-08-26 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
TWI228320B (en) * 2003-09-09 2005-02-21 Ind Tech Res Inst An avalanche photo-detector(APD) with high saturation power, high gain-bandwidth product
TWI222219B (en) * 2003-09-10 2004-10-11 Ind Tech Res Inst Semiconductor optical transistor
US6989322B2 (en) * 2003-11-25 2006-01-24 International Business Machines Corporation Method of forming ultra-thin silicidation-stop extensions in mosfet devices
US20060030093A1 (en) * 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
US7361943B2 (en) * 2005-04-19 2008-04-22 The Ohio State University Silicon-based backward diodes for zero-biased square law detection and detector arrays of same
US20070054460A1 (en) * 2005-06-23 2007-03-08 Atmel Corporation System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20060292809A1 (en) * 2005-06-23 2006-12-28 Enicks Darwin G Method for growth and optimization of heterojunction bipolar transistor film stacks by remote injection
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US7432184B2 (en) * 2005-08-26 2008-10-07 Applied Materials, Inc. Integrated PVD system using designated PVD chambers
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US20070290193A1 (en) * 2006-01-18 2007-12-20 The Board Of Trustees Of The University Of Illinois Field effect transistor devices and methods
US20070262295A1 (en) * 2006-05-11 2007-11-15 Atmel Corporation A method for manipulation of oxygen within semiconductor materials
US7569913B2 (en) * 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto

Also Published As

Publication number Publication date
TW200802851A (en) 2008-01-01
EP1949420A2 (en) 2008-07-30
US20070102834A1 (en) 2007-05-10
WO2007056708A2 (en) 2007-05-18
WO2007056708A3 (en) 2009-05-07
CN101506943A (zh) 2009-08-12
KR20080075143A (ko) 2008-08-14

Similar Documents

Publication Publication Date Title
JP2009521098A (ja) 歪み補償の準安定化合物ベースヘテロ接合バイポーラトランジスタ
US6426265B1 (en) Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6852602B2 (en) Semiconductor crystal film and method for preparation thereof
US6750119B2 (en) Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US20080050883A1 (en) Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US9012308B2 (en) Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US20040150004A1 (en) Semiconductor device and method for fabricating the same
US6537369B1 (en) SiGeC semiconductor crystal and production method thereof
WO2007133949A1 (en) A method for manipulation of oxygen within semiconductor materials
US20070148890A1 (en) Oxygen enhanced metastable silicon germanium film layer
US7538004B2 (en) Method of fabrication for SiGe heterojunction bipolar transistor (HBT)
JP2003297844A (ja) 半導体装置,及び,半導体装置の製造方法
US8115196B2 (en) High performance SiGe:C HBT with phosphorous atomic layer doping
US20070102729A1 (en) Method and system for providing a heterojunction bipolar transistor having SiGe extensions
US7485538B1 (en) High performance SiGe HBT with arsenic atomic layer doping
EP2202784B1 (en) Method for manufacturing a junction
US20080142836A1 (en) Method for growth of alloy layers with compositional curvature in a semiconductor device
Takagi et al. Reduction of neutral base recombination in narrow band-gap SiGeC base heterojunction bipolar transistors
WO2004077570A1 (ja) バイポーラトランジスタおよび集積回路装置
WO2007000718A2 (en) Doping profile improvement of in-situ doped n-type emitters

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20100105