JP2009505429A - ガス注入孔を用いたpecvdのための光学的放射干渉測定 - Google Patents

ガス注入孔を用いたpecvdのための光学的放射干渉測定 Download PDF

Info

Publication number
JP2009505429A
JP2009505429A JP2008527047A JP2008527047A JP2009505429A JP 2009505429 A JP2009505429 A JP 2009505429A JP 2008527047 A JP2008527047 A JP 2008527047A JP 2008527047 A JP2008527047 A JP 2008527047A JP 2009505429 A JP2009505429 A JP 2009505429A
Authority
JP
Japan
Prior art keywords
plasma
substrate
film
radiation
upper electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2008527047A
Other languages
English (en)
Inventor
ジョンソン、デーヴィッド
Original Assignee
エリコン ユーエスエイ、インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エリコン ユーエスエイ、インコーポレイテッド filed Critical エリコン ユーエスエイ、インコーポレイテッド
Publication of JP2009505429A publication Critical patent/JP2009505429A/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0658Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of emissivity or reradiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本発明は、基体のプラズマ処理中、プラズマシステムの上方電極内に配置された標準的ガスシャワーヘッドの標準的シャワーヘッド孔内に配置された光ファイバーセンサーを使用することによりプラズマ処理の光学的感知を改良するための方法及び装置を与える。フイルムの性質は、基体の表面からの測定プラズマ放射に基づいて計算することができる。フイルムの性質は、フイルムの蒸着速度、屈折率、フイルムの厚さ等にすることができる。測定されたフイルムの性質に基づき、基体のプラズマ処理を調節し、且つ/又は終止させることができる。更に、前記標準的シャワーヘッド孔を通るプラズマ放射を見るための上方電極組立体中に配置された窓が与えられている。

Description

関連出願
本願は、「ガス注入孔を用いたPECVDのための光学的放射干渉測定」(Optical Emission Interferometry for PECVD using a Gas Injection Hole)と題する2005年8月18日に出願された、同じ譲受け人に譲渡されている米国特許仮出願Serial No.60/709,469に関し、その優先権を主張するものであり、この特許仮出願は参考のためここに入れてある。
発明の分野
本発明は、プラズマ処理装置に関し、特にプラズマ処理を監視及び制御するための光学的監視及び制御システムを有するプラズマ反応器システムに関する。
背景
半導体装置及び珪素系マイクロ回路を製造するのにプラズマ処理(plasma process)が広く用いられている。それらは、導波管及び光学的装置の製造のような他の非半導体用途及び多くの非珪素系装置(GaAsのようなIII−V材料に基づく装置)でも用いられている。
プラズマ処理は二つの一般的範疇、即ち、エッチングと蒸着に入る。プラズマエッチングの技術では、基体を反応性ガスのプラズマに露出し、揮発性副生成物を形成することにより表面から材料を除去する。非腐食性マスクを用いて基体をパターン化することにより、パターンを基体表面層に効果的に転写することができる。プラズマ蒸着〔プラズマ促進化学蒸着(PECVD)〕では、ガス状前駆物質を低圧プラズマ中に導入し、そこで反応を行わせ、固体副生成物を生成し、それが薄膜として基体に蒸着する。例えば、SiOフイルムを生成させるのにSiH及びNOが一般に用いられている。
両方の技術で、その処理を適当な時間、即ち「終点」で終わらせることが重要である。エッチング処理では、これは、特定の層が除去された点になるのに対し、蒸着処理では、希望の厚さのフイルムが蒸着した点になるであろう。光学的技術に基づく数多くの終点法が報告されている。
光学的放射分光分析(Optical Emission Spectroscopy)(OES)は、プラズマにより放射される輻射線及びプラズマの変化に関連した特定の波長での放射の変化を監視することに依存している。フイルムがエッチングされ、除去されて行くに従ってそのような変化が起きる。なぜなら、それはプラズマ組成の変動を生ずるからである。この技術をエッチング終点を検出するのに適用することができるが、フイルムを蒸着する場合にはそのような変化が起きないので、蒸着処理でフイルムの厚さを決定するのには、それは役立たない。
フイルムの上面及び底面から反射される光の干渉により起きる反射光の強さの変化を測定することによる干渉測定を、フイルムの厚さの測定に用いることができる。フイルムの厚さが変化(エッチング処理の場合には減少、蒸着処理の場合には増大)するに従って、反射光の強度が周期的に変化し、1周期に相当する厚さdは、次の式により与えられる:
d=λ/2n
式中、λは反射光の波長であり、
nは、特定の波長でのフイルムの屈折率である。
反射される光の光源は、一般に外部から与えられる(例えば、レーザー又は連続体光源)。しかし、プラズマ自身が光源として働くことができ、その場合、その技術は光学的放射干渉測定(Optical Emission Interferometry)(OEI)と呼ばれている。原理的には、その技術はエッチング処理と蒸着処理の両方で使えるであろう。
全ての光学的技術に共通なものとして、放射又は反射された輻射線を監視するのに必要な光学的に透明で真空に対し気密な窓を与える必要がある。OEIの場合、その窓は、基体表面から垂直に反射されたプラズマ放射が見られるように配置されているのが理想的である。しかし、効果的であるためには、この窓の存在がプラズマを局部的に変動させないようにすべきである。なぜなら、それは監視されている基体の領域に影響を与えるからである。フイルムの良好な品質を維持するため、狭い電極間隔が用いられている平行板PECVDシステムでは、特にこのことが当て嵌まる。更に、その技術が長い期間に亙って正しく機能するためには、反応性プラズマ及び処理副生成物が存在する中でその窓を光学的に透明に維持しなければならない。
エッチング処理で終点技術としてOEIを使用することは、カーチス(Curtis)(米国特許第4,328,068号)により記述されている。プラズマ放射を収集するのに用いられている光導体は、プラズマ中に挿入され、従ってそれを乱している。エッチング処理により時間と共に光学用部材が劣化するのを防ぐための手立ては与えられていない。
同様に、オーダ(Auda)その他(米国特許第5,223,914号)は、エッチング処理中、フイルムの厚さを測定するために干渉測定方式で分光計を用いることを記述している。プラズマは石英窓を通して見られるが、その窓にはプラズマ環境から保護するための手段はない。カーチスもオーダその他も、蒸着過程を監視することは考えていない。
ソーイン(Sawin)その他(米国特許第5,450,205号)は、荷電結合素子(CCD)検出器配列体を用いて、処理ウエーハの表面を横切って多数の点を監視するのにOEIを用いている。これは大きな(50mm)の窓を必要としており、それは論じられているエッチング用途に対しては許容できるが、平行板PECVD用には受け入れることはできない。プラズマは窓を通して見るが、その窓にはプラズマ環境から保護するための手段が無い。
パークル(Pirkle)その他(米国特許第5,846,373号)は、蒸着処理でフイルムの厚さを測定するのにOEIを使用することを記述している。プラズマは室の壁に取付けられた窓を通して見るが、その窓を蒸着から保護するための手段は存在していない。
チェン(Chen)その他(米国特許第6,071,375号)は、壁に取付けた窓を、その窓とプラズマとの間に配置した前室を通るパージガス流により保護することを論じている。チェンその他は、窓を電極内に置くことは教示しておらず、その構成は平行板PECVDシステムには適用することができない。
オオカワ(Ookawa)その他(米国特許第6,758,941号)は、シャワーヘッド型ガス分布電極内に位置する窓を記述している。その窓は、電極内に位置する大きなアスペクト比の孔によりプラズマ雰囲気から保護されている。平行板PECVDのような狭い電極間隔の構成では、そのような特徴はプラズマを局部的に乱すであろう。
必要なことは、窓がプラズマを乱すことがなく、プラズマ放射をウエーハに対し垂直に見ることができ、OEIを用いてフイルムの厚さを測定することができるような窓を平行板PECVDシステムに取付ける手段である。
従って、プラズマエッチング処理の処理機能状態の最適化を向上させる必要がある。
従来法で、本発明に伴われる利点を与えるものは何もない。
従って、本発明の一つの目的は、従来法の装置の不適切な点を解決し、半導体処理技術の進歩に大きく貢献する改良を与えることである。
本発明の別の目的は、基体を処理するためのプラズマ装置において:真空室;前記真空室中にプラズマを発生させるための少なくとも一つの電力源;前記基体を支持するための基体台座;複数の標準的シャワーヘッド孔を有するガス分布システムを有する上方電極組立体;前記標準的シャワーヘッド孔の少なくとも一つと光学的に連通した検出器で、前記標準的シャワーヘッド孔を通って伝達されたプラズマ放射を測定する検出器;前記検出器及び前記電力源と電気的に連通した制御システム;及び前記シャワーヘッド孔を通って伝達されたプラズマ放射を見るための、前記上方電極組立体中に配置された光学用部材;を含むプラズマ装置を与えることである。
本発明の更に別の目的は、基体のプラズマ処理を監視するための方法において;真空室中の基体台座上に基体を配置する工程;上方電極組立体のガス分布システムの複数の標準的シャワーヘッド孔を通ってガスを導入する工程;前記真空室内で前記ガスからプラズマを発生させる工程;前記基体のプラズマ処理中、前記プラズマを監視し、然も、少なくとも一つの標準的シャワーヘッド孔を通って伝達されたプラズマ放射を、前記上方電極組立体の前記ガス分布システム内に配置された光学用部材を用いて収集し、測定することにより行われる監視工程;及び前記監視工程に基づき前記プラズマを終止させる工程;を含むプラズマ処理監視方法を与えることである。
上で述べたことは、本発明に関係する目的の幾つかの概要を述べたものである。これらの目的は、意図する発明の一層際立った特徴及び用途の幾つかを単に例示しているものと見做すべきである。開示した発明を、その開示の範囲内に入る異なった仕方で適用するか又はその発明を修正することにより、多くの他の有利な結果を得ることができる。従って、本発明の他の目的及び一層完全な理解は、本発明の開示の項を参照し、特許請求の範囲により規定される本発明の範囲の外に、図面に関連して行われる好ましい態様についての詳細な記述を参照することにより得られるであろう。
発明の概要
本発明を要約する目的で、本発明は、基体のプラズマ処理中、プラズマシステムの上方電極内に配置された標準的ガスシャワーヘッドの標準的シャワーヘッド孔を通る、プラズマ処理の光学的感知を改善するための方法及び装置を含む。
本発明の一つの態様は、基体を処理するためのプラズマ装置において、真空室と、その真空室内にプラズマを発生させるための少なくとも一つの電源を含むプラズマ装置を与えることである。その真空室は、基体を支持するための基体台座及び上方電極組立体を有する。基体台座と上方電極組立体の両方にRF電力を供給し、且つ/又はそれらをRF接地することができる。複数の標準的シャワーヘッド孔を有する標準的ガス分布システムを、前記上方電極組立体中に配備する。前記標準的ガス分布システムの前記標準的シャワーヘッド孔の少なくとも一つの中に、少なくとも一つの光ファイバーセンサー(fiber optic senser)を配置する。前記光ファイバーセンサーが受ける信号(基体のプラズマ処理中に発生したプラズマ放射の測定)は、真空室内の基体又は他の既知の材料の表面から反射させることができる。光ファイバーセンサーは、受け取る信号が基体の表面に対し垂直になるように配列することができる。光ファイバーセンサー及び前記真空室内でプラズマを発生させる電源と電気的に連通し、前記光ファイバーセンサーによって受けた読取り(測定値)に呼応してプラズマ処理を終止且つ/又は調節するための制御システムも配備されている。更に、標準的シャワーヘッド孔を通るプラズマ放射を見るための、上方電極組立体中に配置された窓が与えられている。
本発明の更に別の態様は、基体のプラズマ処理を監視する方法を与えることである。その方法は次の工程を含む。真空室内の基体台座上に基体を配置する。上方電極組立体のガス分布システムの複数の標準的シャワーヘッド孔を通って前記真空室内に処理ガスを導入する。前記シャワーヘッドは、接地するか、且つ/又はRFで励起することができる。前記処理ガスから前記真空室内でプラズマを発生させる。発生したプラズマの光学的放射を、基体のプラズマ処理中監視する。前記上方電極組立体のガス分布システムの前記標準的シャワーヘッド孔の少なくとも一つの中に配置した少なくとも一つの光ファイバーセンサーを用いて、基体のプラズマ処理を監視する。前記光ファイバーセンサーが受ける信号(基体のプラズマ処理中に発生したプラズマ放射の測定信号)は、前記真空室内の基体又は他の既知の材料の表面から反射させることができる。前記光ファイバーセンサーは、信号が基体表面に対し垂直になるように配列することができる。前記光ファイバーセンサー及び前記真空室内にプラズマを発生させる電源と電気的に連通し、前記光ファイバーセンサーにより受け取られた読み(測定値)に呼応してプラズマ処理を終止するか、且つ/又は調節するための制御システムも配備されている。基体の表面からの測定プラズマ放射に基づきフイルムの性質を計算することができる。フイルムの性質は、フイルム蒸着速度、屈折率、フイルムの厚さ、等にすることができる。基体のプラズマ処理からのプラズマ放射は、300〜400nmの波長で測定することができる。測定されたフイルムの性質に基づき、基体のプラズマ処理を調節し、且つ/又は終止させることができる。基体のプラズマ処理は、エッチング処理且つ/又は蒸着処理にすることができる。基体のプラズマ処理中、窒素含有ガスを導入することができる。更に、前記標準的シャワーヘッド孔を通るプラズマ放射を見るために、上方電極組立体中に配置された窓を与える。
上で述べたことは、次の本発明の詳細な説明が一層よく理解され、当分野に対する本発明の貢献が一層完全に認められるようにするため、本発明の一層重要な関連する特徴をむしろ広く概説したものである。本発明の特許請求の範囲の主題を形成する本発明の更に別な特徴を下に記載する。開示するその概念及び特定の態様が本発明の同じ目的を達成するための修正又は他の構造設計をするための基礎として容易に用いることができることは当業者によって認められるべきである。そのような同等の構成は、添付の特許請求の範囲に記載した本発明の本質及び範囲から離れるものではないことも当業者は認めるべきである。
図中、いくつかの図面に亙って同様な参照番号は、同様な部品を指す。
本発明の詳細な記述
開示することは、平行板PECVDシステムの上方RF励起電極で、シャワーヘッド型ガス分布手段として働く電極中に窓を取付け、標準的シャワーヘッド孔を通して基体から反射されたプラズマ放射を見る手段である。
図1は、典型的なPECVDシステムの模式的断面図を示している。真空室には基体支持体として働く加熱された下方電極が入っている。その電極は、通常RF接地されているが、場合により上方電極と同じ周波数又はそれより高いか又は低い周波数のRF電力が供給されていてもよい。加熱された上方電極には、インピーダンス整合網状組織(図示されていない)によりRF電力が印加され、二つの電極の間の領域にプラズマを発生する。もし下方電極がRF励起されるならば、場合により上方電極をRF接地してもよい。処理ガスは、上方電極を通って室内に導入され、一般に「シャワーヘッド」と呼ばれるものを構成する電極内の無数の小さな孔を通って基体領域上に均一に分散させる。シャワーヘッドの孔の正確な大きさ及び分布は、蒸着のために用いられる特定の工程パラメーターに依存するが、0.010″〜0.050″の範囲の孔の直径が標準的シャワーヘッドに屡々用いられている。そのような設計は当分野でよく知られており、中間的ガス分散「緩衝板」(図2、4)を用いることを含んでいてもよい。処理ガスは、処理圧力を調節することができるスロットルバルブを組込んだ適当なポンプシステム(図示されていない)を用いて室から送り出す。
RECVDについて、処理圧力は、1トール〜数トールまでの範囲にあるのが普通である。これらの圧力で安定で均一なプラズマを維持するためには、電極間隔は数cm〜数mmまでの程度である。エッチング用途でも同様な構成を用いることができるが、この場合には狭い電極間隔が望ましい。そのような小さな電極間隔の場合には、プラズマを監視するのに慣用的観察口(viewport)を用いることはできない。なぜなら、観察口が存在するとプラズマを乱すからである。
図2は、電極体20中に位置する光学的部品を組込んだ本発明の一例を示しており、その部品によりシャワーヘッド50の標準的シャワーヘッド孔40を通ってプラズマ放射30を監視することができる。プラズマ放射30は、シャワーヘッド孔40を通り、緩衝板70中の孔60を通り、透明窓80を通過し、観察口10内に配置された光ファイバー90により収集される。放射は窓80を必要とすることなく、光ファイバー90により直接収集してもよいが、これは最適には至らない解決法である。なぜなら、それは、その光ファイバーを電極体20の中に真空密封しなければならなくなるからである。場合により光ファイバー90に到達する光の量を増大するために、緩衝板70の中にレンズ100を配置する。光ファイバー90は、30°の程度の輻射線に対する許容角度を持つのが典型的である。従って、プラズマから放射された光30を効率的に確実に伝達するため、観察口10と光ファイバー90とを厳密に一線に並べる必要はない。光ファイバー90は、プラズマ放射30を適当な輻射線検出器(図示されていない)に結合されている。これは、一つのフィルター又は一連のフィルター、又は波長分散部材(プリズム又は格子)を含み、異なった波長の輻射線を測定することができるようにしている。検出器は、200nm〜850nmの波長範囲に亙って同時に検出ができるように複数のチャンネルの分光計〔例えば、オーシャン・オプティクス(Ocean Optics)により製造されている型S2000のようなもの〕を含むのが便利である。
通常の操作では、シャワーヘッド50の背後の空間は、緩衝板70中のガスチャンネル65及び孔60を通って導入され、シャワーヘッド50の孔40を通るプリズム領域中へ出る処理ガスで満たされている。この空間内にはプラズマは存在せず、従って、窓80(及びもし存在するならば、レンズ100)が未反応処理ガスに曝されるだけであり、プラズマ副生成物による腐食又はその蒸着による劣化を受けることはない。更に遮蔽又はガスによるパージを追加することにより、窓80を特別に保護する必要もない。全ての処理ガス(0.1〜10リットル/分の程度)を含む通常の処理ガス流により発生する保護により、窓80が奇麗な環境にだけ露出されるのを確実にする。同様に、プラズマ放射30を監視するのに用いられる特定のシャワーヘッド孔40を修正する必要はない。シャワーヘッド孔40は標準的シャワーヘッド孔であり、それは最適には他の隣接するシャワーヘッド孔と同等である。従って、ガス分布に局部的変動はなく、処理の変動もない。プラズマ放射30を見るためのシャワーヘッド孔40の修正、或は窓80を保護するための付加的ハードウエアーが不必要であることは、本発明が複雑な設備(この場合には高温RF励起電極)に容易に組込まれ、その存在がプラズマ、従って、その処理を何等乱すことはないことを意味する。
図3は、標準的シャワーヘッド孔40の拡大図を例示しており、直接のプラズマ放射30と、(基体台座120上に配置されている)基体110から反射したプラズマ放射30の両方がシャワーヘッド孔40を通過し、光ファイバー90及び検出器により受け取られることを示している。
図4は、電極本体を通るガス入口を示す、従来法の緩衝板の拡大図を例示している。
図5は、典型的な二酸化珪素蒸着処理が用いられた場合のシャワーヘッド孔を通って観察されるスペクトルを示している。孔の大きさが小さく、その孔を通過する輻射線の量が限定されているにも拘わらず、その構成は、更に分析するのに適切な信号を与えるのに充分な感度を持っている。
図5のスペクトルは主に、用いられた蒸着処理の主成分であるNのスペクトルである。300nm〜400nmの範囲の多数の目立った分子放射帯が観察されており、a、b、c、dの記号がつけてある。検出されたスペクトルの一部は基体表面から反射されているので、薄膜表面での反射によりその信号に干渉が起きる。これは、基体が単一の反射性表面(例えば、珪素又はGaAsウエーハ)を有するか、又は前のパターン化操作により存在するトポグラフィーにより複雑な反射を有するかに拘わらず当て嵌まる。従って、二酸化珪素フイルムの蒸着中、これらの帯域の強度は、フイルムの厚さが増大するに従って、周期的な仕方で時間と共に変化する。このことは図6に示されている。
上で論じた放射帯の波長を表1に、反射強度1周期に相当する計算した厚さと共に列挙する。
Figure 2009505429
厚さは、フイルムの屈折率を予め測定するか又は指定することに基づいており、この例では二酸化珪素フイルムに与えた屈折率は1.46である。この値を知れば、図6のデーターからフイルムの厚さを決定することは簡単である。放射帯「b」(波長=337.1nm)については、その周期は図6で1〜6の記号が付けられており、夫々の周期に相当するフイルムの厚さを表2に列挙する。
Figure 2009505429
同様に、半周期に相当するフイルムの厚さを決定することができる。これらの点が生ずる処理時間を、例えば、信号差が0になる時間を検出することにより、信号中の極大値又は極小値を検出することにより決定することができるが、他のよく知られたピーク検出アルゴリズムを用いてもよい。その場合、図7に示したように、処理が進行するに従って、その場でのフイルム厚さ対時間を決定することができ、それから蒸着速度を決定することができる。最後の周期(又は半周期)についての時間tと厚さdを知ることにより、蒸着速度、Rは、簡単にd/tに等しい。Rの一層正確な決定は、時間/厚さデーターについての線形回帰分析を行うことにより達成することができる。この値は、半周期が検出される度び毎に更新することができる。処理中のいずれの時間、t(処理)でも、フイルムの厚さTは次の通りである:
T=R×t(処理)
非常に厚いフイルムの場合、蒸着フイルムがプラズマの特性に影響を与えるため、Rが時間と共に変化することがあり、その場合には多項分析が一層適切である。
干渉信号からのフイルム厚さのデーターを抽出するため他の手段を用いてもよい。ピーク計数及びピーク間の内挿/外挿はよく知られている。データーを周波数領域へ変換すること、例えば、データーにフーリエ変換を行なって信号の周波数(従って、周期時間)を決定することも用いることができる。周期時間から、どの時間の蒸着速度及びフイルム厚さでも、上で概略述べたように簡単に計算される。
測定は一つの波長に限定する必要はないが、複数の波長(今の例ではa、b、c、及びd)を同時に監視し、分析することができる。これは一層多くのデーター点及び蒸着速度の一層正確な決定を与える。更に、多数の波長でのデーターを得ることにより、屈折率又はフイルム吸収(n及びkの値)のようなフイルムの他の性質を決定することが可能になるであろう。
放射は、二つ以上のシャワーヘッド孔を通して見ることがもきる。もしそのような孔が基体上の異なった点に位置しているならば、局部的蒸着速度を測定することができ、この測定から処理の均一性を決定することができる。孔の少なくとも一つが基体から離れた所に位置しているならば、その孔を通って伝達された放射は、直接のプラズマ放射成分だけを有し、基体からの反射による寄与分は含まない(図3)。この直接の成分は、「バックグラウンド」信号として利用し、直接の信号+基体上から受けた反射信号から差し引くことができる。これにより反射だけの信号を直接測定することができる。複数の点での測定は複数の検出器を用いるか、又は別のファイバーにより伝達された放射を交互に実測する一つの検出器を用いることにより行うことができる。
上の例は二酸化珪素フイルムについてN帯を監視するために与えられているが、その技術は、窒化珪素、オキシ窒化珪素、無定形珪素、ダイヤモンド状炭素(DLC)、又は他の有機重合体フイルムのような他のフイルム、及び用いられた特定の方法により決定される他の波長にも同等に適用することができる。
上に与えた例は蒸着過程を監視することに基づいているが、その技術は、RIE、PE、ICP、又は他のよく知られたエッチング技術を含めたエッチング処理、或は別のエッチング及び蒸着工程を用いた方法〔例えば、「ボッシュ(Bosch)」又は時分割多重(TDM)法〕でも、厚さの変化を監視するのに同等に適している。
実施例
リアルタイムでフイルムの厚さを正確に測定することにより、予め定められたフイルムの厚さに到達した時にその処理を終止させることができる。これは図7に示されており、この場合、7500Åの目標フイルム厚さに到達した時にその処理を終止している。線形回帰分析を用いて、蒸着速度は498.8Å/分であったことが決定された。
この技術に基づき処理を終止させることの、時間により終止させる従来の方法に勝る利点は、実施毎に得られる再現性が一層よく、一層一定した性能を有する装置を与える結果になることである。通常、膜が蓄積して、それが反応器の特性を変化させるため、蒸着速度は僅かに変化して行くであろう。決められた時間で処理を終止させることは、実施毎に変化するフイルム厚さを必然的にもたらす。更に、蓄積した膜をプラズマクリーニング法を用いて除去するように、システムを周期的にクリーニングすることが必要である。この手順の後、通常、再び蒸着速度を適正にすることが必要である。なぜなら、クリーニング工程の結果として、その処理の変化が観察されるからである。このことは装置を停止する無駄な時間を与える結果になる。
本発明の利点を実証するために、一連の五つの1μ厚フイルムを蒸着し、次にクリーニングの手順を行い、次に第二の一連の五つの1μ厚フイルムを蒸着した。全ての場合で、337.1nmでの放射の九つの干渉周期が観察された後、その処理を終止した(計算された目標フイルム厚さ=1.038μ)。この厚さを達成するのに必要な時間は実験毎に変化し、記録した。このデーターから、夫々の実験の蒸着速度を計算した。
実験毎の蒸着速度の変化を図8に示す。システムに膜が蓄積するに従って速度は徐々に変化し、室をクリーニングした時に「再設定」し、次に再び変化し続ける。処理を一定の時間に基づき終止させた場合、フイルムの厚さは正確に同じ傾向をたどるであろう。実験毎の変動は±0.75%である。その影響は僅か五つの実験でも明らかに見られる;実験毎の変動の大きさは、実験の数が増大するに従って増大する。
図9は、実測されたフイルムの厚さを示しており、この場合、時間と共に起きる変化はなく、特に室をクリーニングした後では変化はないことが明らかである。実験毎の変動は±0.32%であり、時間を一定にした処理に基づくやり方よりも著しい改良を示している。実験毎の変動の改善は、実験の数が増大するに従って一層よくなると予想される。
処理を終止させること以外に、処理を制御するために本発明を適用する。上で述べたように、厚いフイルムを蒸着する間、蒸着速度は時間と共に変化することがある。リアルタイムで蒸着速度を測定するOEIを用いることにより、この情報をフィードバックさせ、その処理(例えば、RF電力レベル又はガス流量の変化)を修正し、そのような変化を補償する。同様に、複数の点での蒸着速度を監視することにより、その処理の均一性を決定することができ、それにより処理の均一性を制御するようにその工程を調節することができる。複数の波長を監視するならば、他のフイルム特性を決定することができ、その情報をフィードバックさせてフイルムの性質の変化を補償する。このやり方は、少なくとも一つが基体から離れた位置にある複数の点でプラズマを監視することにより改良される。これにより、上で述べたように、異なった波長で基体からの真の反射を決定することができ、それは幾つかのフイルムの性質を正確に決定するのに必要である。
本発明の付加的利点は、シャワーヘッド内に非劣化性窓を配置することにより、慣用的OESでも実施できるようにしていることである。プラズマクリーニング中、通常、室から全ての基体が取り除かれており、従って、シャワーヘッド孔を通過し、検出器によって受け取られる光の中で反射された光は殆どない。むしろそれはプラズマから直接放射された光である(図3に示したように)。従って、終点検出のためによく知られた技術を用いて検出器が受けた信号を処理することは簡単である。一例として、フッ素に基づく方法を用いて(例えば、CF、SF、又はNFを用いて)室をクリーニングした場合、703.7nmでのフッ素原子放射を監視することができる。この放射の強度は、室が奇麗になるに従って上昇し、この上昇を検出するアルゴリズムを用いて自動的にその処理を終止させることができる。
本明細書の開示は、前記説明によるものと同様、添付の特許請求の範囲に含まれるものも含んでいる。本発明を、或る程度の特殊性を持つその好ましい形態で記述してきたが、その好ましい形態についてのここでの開示は単に例としてのみ行われたものであり、構成及び部品の組合せ及び配列の詳細について数多くの変化を、本発明の本質及び範囲から離れることなく行うことができることは分かる。
図1は、典型的な従来法の平行板PECVDシステムの模式的図である。 図2は、本発明の光ファイバーセンサーの配置を用いたプラズマシステムの標準的シャワーヘッド組立体の模式的拡大図である。 図3は、本発明の光ファイバーセンサーの配置を用いたプラズマシステムの標準的シャワーヘッド孔の模式的拡大図である。 図4は、電極本体を通るガス入口を示す従来法の標準的干渉板の拡大図である。 図5は、典型的な二酸化珪素蒸着法を用いた時のシャワーヘッド孔を通して観察されたスペクトルのグラフである。 図6は、時間と共に起きるプラズマ放射強度の変動を示すグラフである。 図7は、時間と共に起きるフイルム厚さの変動を示すグラフである。 図8は、実験毎の蒸着速度の変動を示すグラフである。 図9は、フイルム厚さの実験毎の変動を示すグラフである。

Claims (26)

  1. 基体を処理するためのプラズマ装置において:
    真空室;
    前記真空室中でプラズマを発生させるための少なくとも一つの電源;
    前記基体を支持するための基体台座;
    複数の標準的シャワーヘッド孔を有するガス分布システムを有する上方電極組立体;
    前記標準的シャワーヘッド孔の少なくとも一つと光学的に連通した検出器で、前記標準的シャワーヘッド孔を通って伝達されたプラズマ放射を測定する検出器;及び
    前記検出器及び前記電源と電気的に連通した制御システム;
    を含むプラズマ装置。
  2. 更に、標準的シャワーヘッド孔を通ったプラズマ放射を見るための、上方電極組立体中に配置された窓を含む、請求項1に記載の装置。
  3. 窓がプラズマに露出されていない、請求項1に記載の装置。
  4. 窓が、基体から垂直に反射されたプラズマ放射を伝達する位置にある、請求項1に記載の装置。
  5. 窓が基体から離れた位置にある、請求項1に記載の装置。
  6. 上方電極がRF接地されている、請求項1に記載の装置。
  7. 上方電極がRF励起されている、請求項1に記載の装置。
  8. 基体台座がRF接地されている、請求項1に記載の装置。
  9. 基体台座がRF励起されている、請求項1に記載の装置。
  10. 基体のプラズマ処理を監視する方法において:
    真空室内の基体台座上に基体を配置する工程;
    上方電極組立体のガス分布システムの複数の標準的シャワーヘッド孔を通してガスを導入する工程;
    前記真空室内で前記ガスからプラズマを発生させる工程;
    前記基体のプラズマ処理中、前記プラズマを監視する工程で、前記標準的シャワーヘッド孔の少なくとも一つを通して伝達されたプラズマ放射を、前記上方電極組立体の前記ガス分布システム内に配置された光学的部品を用いて収集及び測定することにより行われる監視工程;及び
    前記監視工程に基づき前記プラズマを終止させる工程;
    を含むプラズマ処理監視方法。
  11. シャワーヘッドを接地する、請求項10に記載の方法。
  12. 前記シャワーヘッドをRF励起する、請求項10に記載の方法。
  13. プラズマ放射が、表面から反射されたものである、請求項10に記載の方法。
  14. プラズマ放射が、プラズマ処理される基体の表面から反射されたものである、請求項10に記載の方法。
  15. フイルムの性質を、基体の表面からの測定プラズマ放射に基づき計算する、請求項14に記載の方法。
  16. フイルムの性質を、プラズマ処理される基体から垂直に反射されたプラズマ放射を測定することに基づいて計算する、請求項15に記載の方法。
  17. フイルムの性質が、フイルム蒸着速度である、請求項15に記載の方法。
  18. フイルムの性質が、屈折率である、請求項15に記載の方法。
  19. フイルムの性質がフイルムの厚さである、請求項15に記載の方法。
  20. 基体のプラズマ処理を、フイルムの性質に基づいて調節する、請求項15に記載の方法。
  21. 基体のプラズマ処理を、フイルムの性質に基づいて終止させる、請求項15に記載の方法。
  22. 基体のプラズマ処理がエッチング処理である、請求項15に記載の方法。
  23. 基体のプラズマ処理が蒸着処理である、請求項15に記載の方法。
  24. 基体のプラズマ処理が、更に、窒素含有ガスからのプラズマの発生を含む、請求項15に記載の方法。
  25. プラズマ放射を、一つの波長で測定する、請求項15に記載の方法。
  26. プラズマ放射を、300〜400nmの範囲で測定する、請求項25に記載の方法。
JP2008527047A 2005-08-18 2006-08-15 ガス注入孔を用いたpecvdのための光学的放射干渉測定 Abandoned JP2009505429A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US70946905P 2005-08-18 2005-08-18
US11/502,585 US7833381B2 (en) 2005-08-18 2006-08-10 Optical emission interferometry for PECVD using a gas injection hole
PCT/US2006/031759 WO2007022144A1 (en) 2005-08-18 2006-08-15 Optical emission interferometry for pecvd using a gas injection hole

Publications (1)

Publication Number Publication Date
JP2009505429A true JP2009505429A (ja) 2009-02-05

Family

ID=37307274

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008527047A Abandoned JP2009505429A (ja) 2005-08-18 2006-08-15 ガス注入孔を用いたpecvdのための光学的放射干渉測定

Country Status (6)

Country Link
US (1) US7833381B2 (ja)
EP (1) EP1917674B1 (ja)
JP (1) JP2009505429A (ja)
CN (1) CN101243535B (ja)
AT (1) ATE515788T1 (ja)
WO (1) WO2007022144A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170070120A (ko) * 2014-10-14 2017-06-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 처리 장비에서의 내부 표면 컨디셔닝 평가를 위한 시스템들 및 방법들

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080214007A1 (en) * 2007-03-02 2008-09-04 Texas Instruments Incorporated Method for removing diamond like carbon residue from a deposition/etch chamber using a plasma clean
US7907260B2 (en) * 2007-06-29 2011-03-15 Lam Research Corporation Collimator arrangements including multiple collimators and implementation methods thereof
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
JP5191857B2 (ja) * 2008-10-08 2013-05-08 東京エレクトロン株式会社 基板処理方法,基板処理装置,記憶媒体
WO2011109758A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Measuring flow properties of multiple gas nozzles of a gas distributor
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102776488B (zh) * 2011-05-10 2014-08-27 北京北方微电子基地设备工艺研究中心有限责任公司 化学气相沉积反应腔装置及具有其的化学气相沉积设备
US20130273237A1 (en) * 2012-04-12 2013-10-17 David Johnson Method to Determine the Thickness of a Thin Film During Plasma Deposition
US9840778B2 (en) 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
DE102013203996A1 (de) * 2013-03-08 2014-09-11 Von Ardenne Anlagentechnik Gmbh Vorrichtung und Verfahren zur Messung der Plasmastöchiometrie bei der Beschichtung eines Substrates
CN103614703B (zh) * 2013-11-01 2016-01-20 浙江正泰太阳能科技有限公司 采用等离子体增强化学气相沉积制备减反射膜的方法
JP6286215B2 (ja) 2014-01-28 2018-02-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN104241793A (zh) * 2014-09-23 2014-12-24 长飞光纤光缆股份有限公司 一种用于微波传输的弯波导
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106935467B (zh) * 2015-12-31 2018-11-06 中微半导体设备(上海)有限公司 一种电感耦合等离子处理器
EP3200218A1 (en) * 2016-01-31 2017-08-02 Soleras Advanced Coatings bvba Monitoring device in a vacuum environment
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017209901A2 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Substrate distance monitoring
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN108257838B (zh) * 2016-12-29 2020-10-02 中微半导体设备(上海)股份有限公司 带干涉仪的防止等离子体进入内部的气体喷嘴及其工作方法
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102111206B1 (ko) * 2017-09-05 2020-05-14 도쿄엘렉트론가부시키가이샤 플라즈마 프로브 장치 및 플라즈마 처리 장치
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4328068A (en) 1980-07-22 1982-05-04 Rca Corporation Method for end point detection in a plasma etching process
US4496425A (en) 1984-01-30 1985-01-29 At&T Technologies, Inc. Technique for determining the end point of an etching process
DE3901017A1 (de) 1989-01-14 1990-07-19 Leybold Ag Verfahren und vorrichtung zur ueberwachung des schichtabtrags bei einem trockenaetzprozess
US5223914A (en) 1989-04-28 1993-06-29 International Business Machines Corporation Follow-up system for etch process monitoring
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
US5242532A (en) 1992-03-20 1993-09-07 Vlsi Technology, Inc. Dual mode plasma etching system and method of plasma endpoint detection
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
DE69510032T2 (de) 1995-03-31 2000-01-27 Ibm Verfahren und Gerät zur Überwachung des Trockenätzens eines dielektrischen Films bis zu einer gegebenen Dicke
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US6071375A (en) 1997-12-31 2000-06-06 Lam Research Corporation Gas purge protection of sensors and windows in a gas phase processing reactor
JP4055880B2 (ja) 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
JP4444428B2 (ja) 2000-01-28 2010-03-31 東京エレクトロン株式会社 エッチング深さの検出方法並びにエッチングモニター装置及びエッチング装置
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
EP1275129B1 (en) * 2000-02-22 2008-09-24 Energy Conversion Devices, Inc. E-beam/microwave gas jet pecvd method and apparatus for depositing and/or surface modification of thin film materials
WO2001076326A1 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
US6381021B1 (en) * 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
US6641747B1 (en) 2001-02-15 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for determining an etch endpoint
US6977184B1 (en) 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
JP4659359B2 (ja) * 2001-12-31 2011-03-30 東京エレクトロン株式会社 材料処理の方法および材料処理のためのシステム
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
JP3821065B2 (ja) 2002-07-04 2006-09-13 東京エレクトロン株式会社 プラズマ処理装置、上部電極カバー、及び上部電極カバー用窓部
US20040040658A1 (en) 2002-08-29 2004-03-04 Tatehito Usui Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
JP4165638B2 (ja) 2002-09-02 2008-10-15 東京エレクトロン株式会社 プロセスの監視方法及びプラズマ処理装置
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US7001529B2 (en) 2002-10-18 2006-02-21 Lam Research Corporation Pre-endpoint techniques in photoresist etching
JP4668522B2 (ja) 2003-03-31 2011-04-13 東京エレクトロン株式会社 プラズマ処理方法
US20040237888A1 (en) 2003-05-30 2004-12-02 General Electric Company Optical monitoring system for plasma enhanced chemical vapor deposition
JP4500510B2 (ja) 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
JP4349848B2 (ja) 2003-06-12 2009-10-21 パナソニック株式会社 終点検出方法および終点検出装置
US7033518B2 (en) 2003-06-24 2006-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for processing multi-layer films
JP4448335B2 (ja) 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6997184B2 (en) * 2004-04-19 2006-02-14 Donohue Timothy J Cool air inhaler
JP4601439B2 (ja) 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ プラズマ処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170070120A (ko) * 2014-10-14 2017-06-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 처리 장비에서의 내부 표면 컨디셔닝 평가를 위한 시스템들 및 방법들
KR102486378B1 (ko) * 2014-10-14 2023-01-09 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 처리 장비에서의 내부 표면 컨디셔닝 평가를 위한 시스템들 및 방법들

Also Published As

Publication number Publication date
WO2007022144A1 (en) 2007-02-22
EP1917674A1 (en) 2008-05-07
CN101243535B (zh) 2011-01-26
ATE515788T1 (de) 2011-07-15
EP1917674B1 (en) 2011-07-06
CN101243535A (zh) 2008-08-13
US7833381B2 (en) 2010-11-16
US20070039548A1 (en) 2007-02-22

Similar Documents

Publication Publication Date Title
JP2009505429A (ja) ガス注入孔を用いたpecvdのための光学的放射干渉測定
US7201174B2 (en) Processing apparatus and cleaning method
JP5586483B2 (ja) 近赤外スペクトル反射測定を利用した進化したプロセス検出及び制御
US5846373A (en) Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
KR101046918B1 (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
US6888639B2 (en) In-situ film thickness measurement using spectral interference at grazing incidence
JP4938948B2 (ja) プラズマプロセス中のプロセスパラメータを決定するためのプロセスモニタおよびその方法
TWI575104B (zh) 用於在電漿沉積過程中測定薄膜之厚度的方法
US6762849B1 (en) Method for in-situ film thickness measurement and its use for in-situ control of deposited film thickness
JP7391859B2 (ja) プラズマチャンバ壁の状態のリアルタイム監視のための方法および装置
KR100659163B1 (ko) 플라즈마 에칭 공정의 정확도를 개선시키기 위한 방법 및장치
JP2007535169A (ja) 処理チャンバを乾式洗浄する方法およびシステム
CN115702485A (zh) 通过处理腔室壁内的透明晶体和透明基板进行薄膜原位测量
US6919279B1 (en) Endpoint detection for high density plasma (HDP) processes
Benson et al. Sensor systems for real‐time feedback control of reactive ion etching
KR101939634B1 (ko) 플라즈마 반응기 벽면 상태 진단 방법
JP2009021624A (ja) 処理装置及び処理装置のクリーニング方法
KR20030094904A (ko) 반도체 제조설비의 투시창

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090724

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100208

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20110607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110607