JP2008517468A - ナノワイヤを基礎にした電子デバイスにおけるゲート開閉形態および改良された接点のための方法、システム、および装置 - Google Patents

ナノワイヤを基礎にした電子デバイスにおけるゲート開閉形態および改良された接点のための方法、システム、および装置 Download PDF

Info

Publication number
JP2008517468A
JP2008517468A JP2007536993A JP2007536993A JP2008517468A JP 2008517468 A JP2008517468 A JP 2008517468A JP 2007536993 A JP2007536993 A JP 2007536993A JP 2007536993 A JP2007536993 A JP 2007536993A JP 2008517468 A JP2008517468 A JP 2008517468A
Authority
JP
Japan
Prior art keywords
nanowire
electronic device
contact
nanowires
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007536993A
Other languages
English (en)
Other versions
JP2008517468A5 (ja
Inventor
シャーリアー モスタルシェッド,
チアン チェン,
フランシスコ レオン,
ヤオリン パン,
リンダ ティー. ロマノ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanosys Inc
Original Assignee
Nanosys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanosys Inc filed Critical Nanosys Inc
Publication of JP2008517468A publication Critical patent/JP2008517468A/ja
Publication of JP2008517468A5 publication Critical patent/JP2008517468A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/762Nanowire or quantum wire, i.e. axially elongated structure having two dimensions of 100 nm or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

改良ゲート構造を有する電子デバイスのための方法、システムおよび装置が記載される。電子デバイスは、少なくとも1つのナノワイヤを含む。ゲート接点は、少なくとも1つのナノワイヤの長さの一部分に沿って位置決めされる。誘電材料層は、ゲート接点と少なくとも1つのナノワイヤとの間にある。ソース接点およびドレイン接点は、少なくとも1つのナノワイヤと接触している。ソース接点またはドレイン接点の少なくとも一部分は、ナノワイヤ長さに沿ってゲート接点と重複する。電子デバイスは、絶縁シェル層によって取り囲まれた半導体コアを有するナノワイヤを含み得る。リング形状の第1のゲート領域は、ナノワイヤの長さの一部分に沿ってナノワイヤを取り囲む。第2のゲート領域は、ナノワイヤと基板との間のナノワイヤに沿って位置決めされる。ソース接点とドレイン接点は、半導体コアの個々の剥き出た部分でナノワイヤの半導体コアにカップルされる。

Description

(発明の背景)
(発明の分野)
本発明は、ナノワイヤを基礎にした電子デバイスにおけるゲート開閉形態に関する。
(背景技術)
産業では、低コスト電子製品を開発することで、そして特に、低コストの大面積電子デバイスを開発することで興味が存在している。このような大面積電子デバイスの利用可能性は、民事用途から軍事用途までの範囲の種々の技術領域を大刷新し得る。このようなデバイスの例示の適用は、アクティブマトリックス液晶ディスプレイ(LCD)およびその他のタイプのマトリックスディスプレイ、スマートライブラリ、クレジッシカード、スマートプライスおよび在庫タグ、セキュリティスクリーニング/監視またはハイウェイ交通モニタニングシステム、大面積センサーアレイなどを含む。
従って、必要なのは、より高い性能の導体または半導体材料およびデバイス、低コストで高性能の電子デバイスおよび構成要素を生産するための方法およびシステムである。
さらに、必要なのは、低プロセス温度を必要とするプラスチックおよびその他の基質に適用され得る薄膜トランジスター(TFT)のような高性能半導体デバイスである。
(発明の簡単な要旨)
高性能電子デバイスを形成するための方法、システムおよび装置が記載される。例えば、改良されたゲート構造を有する半導体デバイスのための方法、システム、および装置が記載される。
本発明の1つの局面では、電子デバイスは、1つ以上のナノワイヤを含む。ゲート接点が、これらナノワイヤ(単数または複数)の長さの少なくとも一部分に沿って位置決めされる。誘電性材料層が、このゲート接点とこれらナノワイヤとの間にある。ソース接点およびドレイン接点がこれらナノワイヤとともに形成される。このソース接点および/またはドレイン接点の少なくとも一部分が、これらナノワイヤの長さに沿って上記ゲート接点と重複する。
本発明の別の局面では、二重ゲート構造を備えた電子デバイスが記載される。1つの局面では、この二重ゲート構造は、前部ゲートおよび後部ゲート構造を含む。さらなる局面において、ナノワイヤを取り囲むゲート構造を有する電子デバイスが記載される。
1つの局面では、電子デバイスは、絶縁シェル層によって取り囲まれた半導体コアを有するナノワイヤを含む。リング形状の第1のゲート領域は、このナノワイヤの長さの一部分に沿ってこのナノワイヤを取り囲む。第2のゲート領域は、上記ナノワイヤと支持基板との間のナノワイヤの長さに沿って位置決めされる。ソース接点およびドレイン接点が上記半導体コアの個々の剥き出た部分で上記ナノワイヤの半導体コアに接続される。
本発明のさらなる局面では、これらの電子デバイスを製作するための方法が記載される。
本発明の局面によれば、改良されたゲート構造を取り込む、ナノワイヤ、ナノロッド、ナノ粒子、ナノリボン、およびナノチューブ形態および薄膜が、種々の新たな能力を可能にする。複数の局面において、これらは:単一結晶基板からガラス基板およびプラスチック基板までの移動微小電子製品;一体化マクロ電子製品、微小電子製品およびデバイスレベルのナノ電子製品;および単一基板上の一体化する異なる半導体材料を含む。本発明のこれらの局面は、フラットパネルディスプレイからイメージセンサーアレイまで、広範な範囲の現存する適用に衝撃を与え、そして計算、格納および通信、フラッシュメモリーデバイス、およびその他のタイプのメモリーデバイス、印刷デバイスなどのための、完全な新規範囲の汎用の柔軟性のある、装着型の使い捨て可能な電子製品を可能にする。
これらの目的およびその他の目的、利点および特徴は、本発明の以下の詳細な説明を考慮して容易に明らかになる。
本発明は、ここで、添付の図面を参照して説明される。これらの図面では、同様の参照番号は、同一または機能的に類似の要素を示す。さらに、参照番号の最も左の数字は、この参照番号が最初に出現する図面を識別する。
(発明の詳細な説明)
(序論)
本明細書中に示され、そして記載される特定の履行は、本発明の例であり、そしてそうでなければ、いかなる様式においても、本発明の範囲を制限することは意図されないことを認識すべきである。実際、簡潔さのために従来の電子製品、製造、半導体デバイス、およびナノワイヤ(NW)技術、およびシステム(およびこのシステムの個々の作動構成要素の構成要素)のその他の機能的局面は、本明細書中では詳細に説明されない。さらに、簡潔さのために、本発明は、ナノワイヤ、および半導体トランジスターデバイスに関するとしてしばしば説明される。さらに、ナノワイヤの数およびこれらのナノワイヤの間隔は、論議される特定の履行について提供されているが、これらの履行は、制限的であることは意図されず、そして広範な範囲のナノワイヤの数および間隔がまた用いられ得る。ナノワイヤがしばしば言及されるけれども、本明細書中で説明される技法はまた、ナノロッド、およびナノリボンに適用可能であることが認識されるべきである。本明細書中に記載される製造技法は、任意の半導体デバイスタイプ、およびその他の電子構成要素タイプを生成するために用いれら得ることがさらに認識されるべきである。さらに、これら技法は、電気システム、光システム、消費者電子製品、産業電子製品、ワイヤレスシステム、宇宙適用、または任意のその他の適用に適切であり得る。
本明細書で用いられるとき、用語「ナノワイヤ」は、一般に、500nmより小さい、そして好ましくは100nmより小さい少なくとも1つの断面寸法、そして10より大きい、好ましくは50より大きい,そしてより好ましくは100より大きいアスペクト比(長さ:幅)を有する、任意の細長い導体または半導体材料(または本明細書中に記載されるその他の材料)をいう。このようなナノワイヤの例は、公開された国際特許出願番号WO02/17362、WO02/48701、およびWO01/03208に記載されるような半導体ナノワイヤ、カーボンナノチューブ、および同様の寸法のその他の細長い導体または半導体構造を含む。
本明細書で用いられるとき、用語「ナノロッド」は、一般に、ナノワイヤに類似であるが、ナノワイヤのそれより小さいアスペクト比(長さ:幅)を有する任意の細長い導体または半導体材料(または本明細書中に記載されるその他の材料)をいう。2つ以上のナノロッドが、それらの長軸方向軸に沿ってカップルされ、その結果、これらカップルされたナノロッドが、接点または電極のような任意の2つ以上の点の間の途中のすべてにまたがり得ることに注目のこと。あるいは、2つ以上のナノロッドは、それらの長軸方向軸に沿って実質的に整列され得るが、一緒にカップルされず、その結果、これら2つ以上のナノロッドの端部間には、小さなギャップが存在する。この場合には、電子が1つのナノロッドからもう1つに、1つのナノロッドからもう1つにホップすることによって流れ得、この小さなギャップを横切る。これら2つ以上のナノロッドは実質的に整列され得、その結果、それらは、電子が電極間を辿り得る経路を形成する。
本明細書で用いられるとき、用語「ナノ粒子」は、一般に、ナノワイヤ/ナノロッドと同様の導体または半導体材料(または本明細書中に記載されるその他の材料)をいうが、1:1のアスペクト比を含む、ナノロッドのそれより小さいアスペクト比(長さ:幅)を有する、2つ以上のナノ粒子が、一緒にカップルされ得、その結果、これらカップルされたナノ粒子が、接点または電極のような任意の2つ以上の点の間の途中のすべてにまたがることに注目のこと。あるいは、2つ以上のナノ粒子は、実質的に整列され得るが、一緒にカップルされず、その結果、これらの間には、小さなギャップが存在する。この場合には、電子が1つのナノ粒子からもう1つに、1つのナノ粒子からもう1つにホップすることによって流れ得、この小さなギャップを横切る。これら2つ以上のナノ粒子は実質的に整列され得(例えば、化学的に、電荷/電場などによる)、その結果、それらは、電子が電極間を辿り得る経路を形成する。「ナノ粒子」は、「量子ドット」として言及され得ることに注目のこと。
本明細書中に記載される例示の履行は、主に、CdSおよびSiを用いるが、ナノワイヤおよびナノリボンのためのその他のタイプの材料が用いられ得、例えば、Si、Ge、Sn、Se、Te、B、C(ダイヤモンドを含む)、P、B−C、B−P(BP6)、B−Si、Si−C、Si−Ge、Si−SnおよびGe−Sn、SiC、BN/BP/BAs、AlN/AlP/AlAs/AlSb、GaN/GaP/GaAs/GaSb、InN/InP/InAs/InSb、BN/BP/BAs、AlN/AlP/AlAs/AlSb、GaN/GaP/GaAs/GaSb、InN/InP/InAs/InSb、ZnO/ZnS/ZnSe/ZnTe、CdS/CdSe/CdTe、HgS/HgSe/HgTe、BeS/BeSe/BeTe/MgS/MgSe、GeS、GeSe、GeTe、SnS、SnSe、SnTe、PbO、PbS、PbSe、PbTe、CuF、CuCl、CuBr、CuI、AgF、AgCl、AgBr、AgI、BeSiN、CaCN、ZnGeP、CdSnAs、ZnSnSb、CuGeP、(Cu、Ag)(Al、Ga、In、Tl、Fe)(S、Se、Te)、Si、Ge、Al、(Al、Ga、In)(S、Se、Te)、AlCO、およびこのような半導体の2つ以上の適切な組み合わせを含む。
特定の局面では、上記半導体は、周期表のIII族からのp−タイプドーパント;周期表のV族からのn−タイプドーパント;B、AlおよびInからなる群から選択されるp−タイプドーパント;P、AsおよびSbからなる群から選択されるn−タイプドーパント;周期表のII族からのp−タイプドーパント;Mg、Zn、CdおよびHgからなる群から選択されるp−タイプドーパント;周期表のIV族からのp−タイプドーパント;CおよびSiからなる群から選択されるp−タイプドーパント;またはSi、Ge、Sn、S、SeおよびTeからなる群から選択されるn−タイプドーパントを含み得る。
さらに、上記ナノワイヤまたはナノリボンは、カーボンナノチューブ、または導体または半導体有機ポリマー材料(例えば、ペンタセン、および遷移金属酸化物)から形成されたナノチューブを含み得る。
これ故、用語「ナノワイヤ」は、例示の目的のために本明細書中の説明を通じて言及されているけれども、本明細書中の説明はまた、ナノチューブ(例えば、軸方向にそれを通って形成される中空チューブを有するナノワイヤ様構造)の使用を包含することが意図される。ナノチューブは、単独またはナノワイヤと組み合わせて、ナノワイヤについて本明細書中に記載されるように組み合わせ/ナノチューブの薄膜で形成され得、本明細書に記載される性質および利点を提供する。
さらに、本発明のナノワイヤの薄膜は、「不均質」膜であり得ることが注記され、これは、半導体ナノワイヤおよび/またはナノチューブ、および/またはナノロッド、および/またはナノリボン、および/または異なる組成および/または構造的特徴のそれらの任意の組み合わせを取り込む。例えば、「不均質膜」は、変化する直径および長さをもつナノワイヤ/ナノチューブ、変化する特徴を有する「不均質構造」であるナノチューブおよび/またはナノチューブを含み得る。
本発明の文脈では、詳細な説明の焦点は、半導体基板上のナノワイヤ、ナノチューブ、またはナノリボン薄膜の使用に関するけれども、これらのナノ構造が付着される基板は、制限されないで:均一基板、例えば、シリコンまたはその他の半導体材料、ガラス、石英、重合体などのような固体材料のウェーハ;大きな固体材料の剛直性シート、例えば、ガラス、石英、ポリカーボネート、ポリスチレンなどのようなプラスチックを含む任意の材料を含み得るか、またはさらなる要素、例えば、構造要素、組成要素などを含み得る。あるいは、ポリオレフィン、ポリアミドおよびその他、透明基板、またはこれらの特徴の組み合わせのようなプラスチックのロールのような可撓性基板が採用され得る。例えば、この基板は、最終的に所望のデバイスの一部であるその他の回路または構造要素を含み得る。このような要素の特定の例は、ナノワイヤまたはその他のナノスケールの伝導性要素、光および/または光電子要素(例えば、レーザー、LEDなど)、および構造要素(例えば、マイクロカンチレバー、ピット、ウェル、ポストなど)を含む、電気的接点、その他のワイヤまたは導体経路のような電気回路要素を含む。
実質的に「整列され」または「配向され」により、ナノワイヤのコレクションクまたは集団中の大多数のナノワイヤの長軸方向軸が、単一方向の30度内に配向されることが意味される。大多数は、種々の実施形態で50%より大きいナノワイヤの数であると考えられ得るが、ナノワイヤの60%、75%、80%、90%、またはその他の%が、そのように配向されている大多数であると考えられ得る。特定の好ましい局面では、大多数のナノワイヤは、所望の方向の10度以内に配向されている。さらなる実施形態では、大多数のナノワイヤは、所望の方向のその他の数または範囲の度内に配向され得る。
本明細書中でなされる空間の説明(例えば、「上」、「下」、「上方」、「下方」、「上部」、「底」など)は、例示のみの目的のためであり、しかも、本発明のデバイスは、任意の配向または様式で空間的に配列され得る。
(重複するゲートを有する電子デバイス実施形態)
本発明の実施形態は、重複するゲート形態を有する電子デバイスについてこのセクションに提供される。これらの実施形態は、例示目的のために提供され、そして制限するのではない。本発明のさらなる作動および構造の実施形態は、本明細書中の記載から当業者に明らかである。これらのさらなる実施形態は、本発明の範囲および思想の中にある。
例えば、本発明の実施形態は、金属酸化物半導体FET(MOSFET)を含む電界効果トランジスター(FET)のようなトランジスターに適用される。FETは、第1の端子と第2の端子との間の電流が第3の端子で制御される3端子デバイスである。例えば、電圧が第3の端子で付与され得、電流を制御する。1つの実施形態では、この第1の端子および第2の端子は、「ソース」および「ドレイン」端子であり、そしてこの第3の端子は、「ゲート」端子であり得る。1つの実施形態では、この3つの端子は、半導体材料中またはその上に形成される。
MOSFET実施形態では、金属ゲート電極は、代表的には、絶縁体材料によって半導体材料から分離されている。上記ソースおよびドレインに対応する半導体材料の領域は、代表的には、ベース半導体材料から異なってドープされる。例えば、このソースおよびドレイン領域は「n」ドープされ、その一方、ベース半導体材料は「p」ドープされる(すなわち、「n−チャネル」デバイス)。あるいは、上記ソース領域およびドレイン領域は、「p」ドープされ、その一方、上記ベース半導体材料は、「n」ドープされる(すなわち、「p−チャネル」デバイス)。ゲートで付与された電圧は、枯渇領域を形成し、そしてチャネル領域と呼ばれる可動性キャリアを含む薄い表面領域をさらに生成する。n−チャネルデバイスは、大多数のキャリアのための電子を有し、その一方、p−チャネルデバイスは、大多数のキャリアのためのホールとしてである。このチャネル領域の形成は、上記ソースとドレインとの間で電流が流れることを可能にする。
本発明の実施形態によれば、ゲート接点は、ナノワイヤ(または複数のナノワイヤ)のソースおよび/またはドレイン領域と重複される。この形態は、形成されるべき内在的および低ドープ半導体ナノワイヤに対し、改良されたオーム(低抵抗)接点を可能にする。
金属と半導体との間にオーム接点を作製する従来のアプローチは、金属が半導体と接触する領域中にドーパントを移植することである。このようなドーピングは、例えば、接点抵抗性および/またはシリーズ抵抗性を減少し得る。
ナノワイヤに対し、(例えば、40nmより小さいような)浅い接続部を有するために、ドーピングの間に、非常に低いドーパントエネルギーが用いられなければならない。そうでなければ、より高いエネルギーのイオンが、ナノワイヤを貫通し得、そして熱アニーリングプロセスが、ナノワイヤの小さなサイズおよびシード結晶構造の利用可能性のために修復され得ない結晶構造損傷を引き起こす。
本発明の実施形態は、FET構造のチャネル領域を調整するためのみならず、FETナノワイヤのソース領域およびドレイン領域をまた「作動(ターンオン)」する。これは、関与するナノワイヤの小さい寸法(例えば、100nm以下)のために可能である。ナノワイヤは、ゲート電場の影響の下で蓄積/反転されるが、ドレインからソースへのバイアスもまた、キャリア濃度に影響する。これは、ナノワイヤの小直径(例えば、100nm以下)が、キャリアが拡散によってこれらの小距離の上をナノワイヤ内の周りで移動することを可能にするからである。このナノワイヤの内在的性質は、ドレインおよびソース接点(例えば、金属)への接続を乏しくし、本発明と組み合わせた重複するゲートバイアスの非存在下で、この電子デバイスを遮断さえする。ドレイン領域およびソース領域の重複を備えた単一のゲートは、それがナノワイヤFETのチャネル領域内で行うのとほぼ同じ様式でキャリア濃度を調整する。結果として、ドレイン領域およびソース領域への接点は、ドープされなければならないことはない。これは、(例えば、シリコン中のキャリアの拡散長さと比較して)小ナノワイヤ直径、およびキャリアがこのナノワイヤのゲート側からソースまで、および/またはナノワイヤのドレイン側まで拡散し、チャネルに対して延長を形成する能力によって容易にされる。
本発明は、ナノワイヤを基礎にしたトランジスターを含む、多くのタイプのナノワイヤを基礎にした電子デバイスに適用可能である。例えば、この形態を用いるFET実施形態では、上記ゲート接点は、ソースおよびドレイン接点で荷電蓄積または反転を引き起こし、その一方、また、(ナノワイヤを通る)チャネルコンダクタンスを調整する。
1つの実施形態では、ゲート金属は、上記ソース接点およびドレイン接点が位置される表面の反対の表面上で、ナノワイヤのソース領域および/またはドレイン領域と重複される。しかし、本明細書中の教示から当業者によって理解され得るように、本発明によれば、その他のゲートおよびソース/ドレイン配列もまた可能である。
これらの実施形態は、以下を含む多くの利点を提供する:
1.ナノワイヤの接点領域のドーピングインプラントの必要性をなくする:および
2.ゲートの「オフ」−状態(例えば、ここでは、チャネルが相対的に非伝導性である)への調整はまた、ソース領域およびドレイン領域を遮断し、閾値以下の漏れ(「オフ」−状態漏れ)が、実質的に減少される。
図1は、基板102上のナノワイヤを基礎にした例示の電子デバイス100を示す。図1中の電子デバイスは、トランジスターである。図1に示されるように、電子デバイス100は、ドレイン接点104、ソース接点106、ナノワイヤ108、ゲート接点110、および誘電性材料層112を有する。電子デバイス100は、従来の電子デバイスである。なぜなら、ドレイン接点104およびソース接点106のいずれも、ナノワイヤ108の長さ120に沿ってゲート接点110と重複しないからである。それ故、電子デバイス100は、上記に記載のような欠陥を被る。
図2Aおよび2Bは、本発明の実施形態による、基板102上の例示のナノワイヤを基礎にした電子デバイスを示す。図2Aは、ドレイン電極または接点104、ソース電極または接点106、ナノワイヤ108、ゲート電極または接点210、および誘電性材料層112を有する電子デバイス200を示す。
図2Aに示されるように、ゲート接点210は、ナノワイヤ108の長さ212に沿って位置決めされる。代替の実施形態では、ゲート接点210は、ナノワイヤ108の長さ212の任意の一部分に沿って形成され得る。例えば、図3は、ナノワイヤ108の全長より少ない部分302に沿って位置決めされるゲート接点210を示す。
誘電性材料層112は、ゲート接点210とナノワイヤ108とに間に位置決めされる。誘電性材料層112は、ゲート誘電体として機能し、そして有機または無機を含む任意のタイプの誘電性材料であり得、そしてスピンされるか、スパッターされるか、または化学的蒸着(CVD)、e−ビーム蒸発のような任意のその他の薄膜堆積方法により付与されるか、または本明細書中いずれかで記載もしくは参照される、またはその他の公知の任意のその他の様式で付与される。1つの実施形態では、上記誘電性材料は、チャネル領域で窪みにされ得、このチャネル領域でより良好なカップリング効率を与える。
ドレイン接点104は、ナノワイヤ108と接触している。図2Aに示されるように、ドレイン接点104は、ゲート接点210と重複する。換言すれば、ドレイン接点104は、それに沿ってゲート接点210が位置決めされるナノワイヤ108の一部分と重複する。ソース接点106は、ナノワイヤ108と接触している。図2Aに示されるように、ソース接点106は、ゲート接点210と重複する。換言すれば、ソース接点106は、ゲート接点210がそれに沿って位置決めされるナノワイヤ108の一部分と重複する。
図2Aおよび2Bに示されるように、ドレイン接点104およびソース接点106の全長は、ナノワイヤ108の長さ212と重複する。あるいは、図3に示されるように、ソース接点106の一部分306はゲート接点210と重複し、そしてドレイン接点104の一部分304はゲート接点210と重複する。1つの実施形態では、ドレイン接点104およびソース接点106のいずれかまたは両方の、ゲート接点210との重複の量は、無駄なキャパシタンス(単数または複数)を減少するために最小にされる。
ゲート接点210との重複のため、ドレイン接点104およびソース接点106に隣接するナノワイヤ108の領域は、オーム接点を生成するためにドープされる必要はない。ゲート接点210は、この重複に起因して、これらの領域におけるキャリア濃度を調整するために用いられ得、ナノワイヤ108のソース領域およびドレイン領域を「開ける(ターンオン)」。
ゲート接点210、ドレイン接点104、およびソース接点106は、有機(導電性ポリマー)または無機(例えば、金属または金属/合金の組み合わせ)を含む任意の適切な伝導性材料であり得、そして塗布され得、エレクトロポレーションされ得、蒸発され得、スパッターされ得、スピンされ得、または本明細書のいずれかで記載もしくは参照され、またはそうでなければ公知のように付与される。
ドレイン接点104とソース接点106との間のスペース220は、存在するとき、充填され得るか、または充填されないことに注目のこと。例えば、スペース220は、空気、絶縁性材料、ナノワイヤ108を基板102に付着する接着剤、または当業者に公知であり得る任意のその他の適切な材料を含み得る。
電子デバイス200は従来プロセスを用いて形成され得、そして任意の順序で形成され得る。例えば、図2Aに示されるように、ソース接点104およびドレイン接点106は、基板102上に形成される。ナノワイヤ108は、ソース接点104およびドレイン接点106上に堆積またはそうでなければ位置決めされる。誘電性材料112は、ナノワイヤ108上に形成される。ゲート接点210は、誘電性材料層112上に形成される。
あるいは、ゲート接点210は、電子デバイス200のための基板上に形成され得る。例えば、図2Bに示されるように、ゲート接点210は、基板102上に形成される。誘電性材料層112は、ゲート接点210上に形成される。ナノワイヤ108は、誘電性材料層112上に堆積されるか、またはそうでなければ位置決めされる。ソース接点106およびドレイン接点104は、ナノワイヤ108上に形成される。
FETとしての電子デバイス200の作動の間に、ナノワイヤ108は、ソース接点106とドレイン接点104との間のチャネルとして機能する。実施形態では、1つ以上のさらなるゲート接点、グローバルまたはローカル、が、電子デバイス200中に形成され得、性能を増大する。例えば、図4に示されるように、第2のゲート接点が、1つの実施形態によれば、電子デバイス200中に存在し得る。第2のゲート402は、第1のゲート接点210にカップルされ得るか、または絶縁され得る。図4に示される形態では、第2のゲート接点402は、「後方」ゲートと称され得、その一方、第1のゲート接点210は、「前方」ゲートと称され得る。第2のゲート接点402は、チャネルコンダクタンスを改善し得る。図4に示される以外の複数ゲート形態がまた、本明細書の教示から当業者に理解され得るように、本発明の実施形態に適用可能である。
図5および6は、図2Aおよび2Bの電子デバイス200の例示の作動を示す。図5および6の例では、ナノワイヤ108は、p−タイプ半導体材料である。代替の実施形態では、ナノワイヤ108は、n−タイプであり得る。例えば、図5は、ゲート接点210とカップルされた第1の負電圧信号502、およびドレイン接点104に印加された第2の負電圧信号504を示す。ソース接点106は、接地またはその他の電圧または電位にカップルされ得る。図5の例に示されるように、ゲート接点210への負電圧の印加は、ゲート接点210の近傍のナノワイヤ108における正電荷510を蓄積させ、ドレイン接点104の近傍に蓄積するさらなる正電荷とともにチャネルを形成する。図6に示される電子デバイス200は、図5に示される電子デバイス200と類似の様式で作動する。
図2Aおよび2Bに示されるような実施形態では、誘電性材料層112が堆積される。例えば、図7Aは、電子デバイス200の端面図を示し、ここでは、誘電性材料層112は、基板102上のゲート接点210上に形成される。別の実施形態では、誘電性材料層112は、ナノワイヤ108のシェル層であり得る。例えば、図7Bは、本発明の実施形態による、コア−シェルナノワイヤ702を有する電子デバイス200を示す。ナノワイヤ702は、シェル層706によって取り囲まれた半導体コア704を有する。シェル層706は、ナノワイヤ702の表面中に形成されるか、またはそれに付与される誘電性材料である。図7Bの例示の実施形態では、コア−シェルナノワイヤ702は、ゲート接点210上に堆積され、そしてドレイン接点104(およびソース接点106、図7Bには示されていない)は、ナノワイヤ702上に形成される。シェル層706は、図7Bの電子デバイス200のために誘電性材料層112として機能する。あるいは、ゲート接点210は、ナノワイヤ702上に形成され得、そしてドレイン接点104(およびソース接点106)は、基板102上に形成され得る。
図7Bに示される実施形態では、シェル層706の一部分は存在せず、その結果、ドレイン接点104(およびソース接点106)は、コア704と接触され得る。例えば、図7Cは、本発明の例示の実施形態による、図7Bの電子デバイス200の側方断面図を示す。図7Cに示されるように、ナノワイヤ702の端部708および710におけるシェル層706の一部分712および714は、ドレイン接点104およびソース接点106のためにコア704を剥き出すようにパターン化/除去され得る。
複数の実施形態では、電子デバイスは、任意の数の1つ以上のナノワイヤを有して形成され得ることに注目のこと。例えば、複数のナノワイヤは、薄膜に成形され、そして電子デバイスで用いられる。複数のナノワイヤが用いられるとき、これらナノワイヤは、整列され得るか、または整列されない(例えば、ランダムに配列される)。
例えば、図7Dは、本発明の例示の実施形態による、複数の整列されたナノワイヤ108a〜108dを有する電子デバイス750の断面端面図を示す。図7Dの例に示されるように、複数のナノワイヤ108a〜dは、誘電性材料層112上に堆積される。さらに、複数のナノワイヤ108a〜dは、共通のドレイン接点104(そして図7Dには示されていないが、共通のソース接点106)を有する。
あるいは、この複数のナノワイヤは、コア−シェルナノワイヤであり得る。例えば、図7Eは、本発明の例示の実施形態による、複数の整列されたコア−シェルナノワイヤ702a〜702cを有する電子デバイス760の断面端面図を示す。図7Eの例に示されるように、複数のナノワイヤ702a〜cは、その上に形成された共通のドレイン接点104(そして図7Dには示されていないが、共通のソース接点106)を有する。ドレイン接点104は、ナノワイヤ702a〜cのコア704a〜cの剥き出た部分と接触して示される。さらに、複数のナノワイヤ108a〜dは、ゲート接点210上に堆積される。
電子デバイス750は、それに代わって基板102上に形成されたドレイン接点104およびソース接点106を有し得、そして複数のナノワイヤ上に形成されたゲート接点210を有するか、またはその他の様式の形態とされ得ることに注目のこと。
図8は、本発明の例示の実施形態による、電子デバイスを製作するための例示の工程を提供するフローチャート800を示す。その他の構造的および作動実施形態は、以下の論議に基づき当業者に明らかである。図8に示される工程は、必ずしも示される順序で生じなければならないことはない。図8の工程を以下に詳細に説明する。
フローチャート800は、工程802で始まる。工程802では、少なくとも1つのナノワイヤが基板上に位置決めされる。例えば、1つ以上の任意の数のナノワイヤが位置決めまたは堆積され得る。例えば、図7Aおよび7Bは、例示の単一のナノワイヤの実施形態に関し、そして図7Dおよび7Eは、複数のナノワイヤが存在する例示の実施形態に関する。図7Aに示されるナノワイヤ108のような、そして/または図7Bに示されるナノワイヤ702のようなナノワイヤが用いられ得る。任意のタイプの基板が、基板102として用いられ得、可撓性基板および剛直性基板、ならびに小面積基板および大面積基板を含む。
工程804では、ゲート接点が形成される。このゲート接点は、少なくとも1つのナノワイヤの長さの少なくとも一部分に沿って位置決めされ、そして誘電性材料層によって少なくとも1つのナノワイヤから分離される。例えば、このゲート接点は、(図2Aに示されるように)ナノワイヤの位置決め後に形成されるか、または(図2Bに示されるように)ナノワイヤの位置決め前に形成されるゲート接点210であり得る。
工程806では、ドレイン接点およびソース接点は、少なくとも1つのナノワイヤと接触して形成され、ここで、このソース接点およびドレイン接点の1つまたは両方は、ゲート接点と重複する。例えば、このドレイン接点およびソース接点は、図2Aまたは2Bに示されるようなドレイン接点104およびソース接点106である。図2Aおよび2Bに示されるように、ドレイン接点104およびソース接点106は、ゲート接点210と完全に重複する。あるいは、図3に示されるように、ソース接点106およびドレイン接点104の一部分が、ゲート接点210と重複する。代替の実施形態では、唯一のドレイン接点104およびソース接点106が、ナノワイヤの長さに沿ってゲート接点210と重複する。
(非対称二重ゲートのナノワイヤを基礎にしたトランジスター実施形態)
本発明の実施形態は、このセクションでは、非対称ゲート形態を有する電子デバイスについて提供される。これらの実施形態は、例示の目的のために提供され、そして制限するのではない。本発明のさらなる作動的および構造的実施形態は、本明細書中の記載から当業者に明らかである。これらのさらなる実施形態は、本発明の範囲および思想の中にある。
本発明の実施形態によれば、非対称二重ゲート形態が、トランジスターのようなナノワイヤを基礎にする電子デバイスの駆動能力を増加するために形成される。非対称二重ゲート形態を有するナノワイヤFETを作製する実施形態が、例示の目的で本明細書中に記載される。
本発明の非対称二重ゲート形態は、高性能電子デバイスを提供し、そしてドーピングプロセスをなくすことによって電子デバイス製作プロセスを単純にする。このようなドーピングプロセスは、代表的には、イオン移植、および高温拡散/アニーリングプロセスを必要とする。本発明の実施形態による製作プロセスは、非常に低い温度のプロセス(例えば、100℃より低い)を用いて履行され得る。電子デバイス実施形態は、ガラス、プラスチック、ステンレス鋼、セラミック、またはその他の材料もしくはデバイスを含む、任意のサイズおよび形状(例えば、ロール〜ロールプラスチック電子製品)を備えた低温基板(例えば、高温プロセスで持続することができない)を含む、任意の基板タイプ上に形成され得る。
二重ゲートおよびナノワイヤ中の可能な完全枯渇チャネルの利点を採用することにより、高性能デバイスが、非常に低いコストで生成され得る。初期デバイスモデリングおよび実際のデバイスの試験は、優れたデバイス性能を示す。例えば、電流駆動能力は、非二重ゲートデバイスと比較して、本発明の二重ゲートを用いて2倍以上であり得る。
図9は、本発明の実施形態による、例示の非対称二重ゲート形態を有する電子デバイス900の断面図を示す。図9に示されるように、電子デバイス900は、基板920上に形成される。電子デバイス900は、絶縁シェル層906(例えば、適合被覆)によって取り囲まれる半導体コア904を有するナノワイヤ902、リング形状の第1のゲート領域908、第2のゲート領域910、ソース接点912、およびドレイン接点914を含む。
以下にさらに記載されるように、リング形状の第1のゲート領域908は、ナノワイヤ902の長さ918の一部分916に沿ってナノワイヤ902を取り囲む。第2のゲート領域910は、ナノワイヤ902と基板920との間のナノワイヤ902の長さ918に沿って位置決めされる。
ソース接点912とドレイン接点914は、半導体コア904の個々の剥き出た部分922および924でナノワイヤ902の半導体コア904にカップルされる。図9の例では、ソース接点912およびドレイン接点914は、第2のゲート領域910の側とは反対のナノワイヤ902の側(例えば、図9に示される上側面)に位置決めされる。
誘電性材料926は、ソース接点912とドレイン接点914を、リング形状のゲート領域908から分離する。
電子デバイス900は、本発明の実施形態による、種々のプロセスに従って形成され得る。図10は、本発明の実施形態による、電子デバイス900を製作するための例示の工程を提供するフローチャート1000を示す。その他の構造的および作動的実施形態は、以下の論議に基づき、当業者に明らかである。図10に示される工程は、必ずしも、示される順序で生じなければならないことはない。図10の工程は、図11〜21に関して以下に詳細に説明される。図11〜21は、本発明の実施形態による、例示の製作プロセス間の電子デバイス900の種々の図を示す。
フローチャート1000は、工程1002で始まる。工程1002では、ナノワイヤが、基板上に位置決めされる(例えば、堆積される)。例えば、このナノワイヤは、図13に示されるように、基板920上に位置決め(例えば、成長される、堆積される、など)ナノワイヤ902である。図11は、ナノワイヤ902の例示の断面図を示し、そして図12は、ナノワイヤ902の例示の斜視図を示す。図11および12に示されるような実施形態では、ナノワイヤ902は、半導体コア904、コア904を取り囲む絶縁シェル層906、および絶縁シェル層906を取り囲む伝導層1102を有する。伝導層1102は、ナノワイヤ902の周りに形成される適合被覆である。例えば、多結晶シリコン(ポリ−Si)またはGeが伝導層1102として用いられ得るか、または別の有機(例えば、導電性ポリマーなど)または無機材料(例えば、ドープされたSi、Geなど)または金属/合金の組み合わせを含む、金属(例えば、W、Pt、Cu、Al、Ni、Tiなど)のようなその他の伝導性材料が用いられ得る。伝導層1102は、プラズマ増大CVD(PECVD)、低圧CVD(LPCVD)、原子層堆積(ALD)、または急速熱CVDなどのような化学的蒸着(CVD)技法により、物理的蒸着(PVD)(例えば、スパッタリング、e−蒸発)により、そしてスピンコーティング、蒸気コーティング、浸漬コーティングなどのようなその他の薄膜プロセッシング法によって形成され得る。絶縁シェル層906は、SiO、SiN、SiON、SiC、AlO、AlNなど、ポリマー材料、またはその他の絶縁材料のような、任意の種々の誘電性材料から形成され得る。例示の目的のために提供される例示の実施形態では、伝導層1102は、1000Åの厚みを有し、シェル層906(例えば、SiOから作製される)は、100Åの厚みを有し、そしてコア904(例えば、シリコンのとき)は、500Åの直径を有する。図9および11〜22に示される、コア904、シェル層906、伝導層1102などの相対寸法は、必ずしも比例して正確ではなく、それらは例証の容易さのために示されていることに注目のこと。
1つの実施形態では、フローチャート1000は、シェル層906がコア904中/上に形成される工程を含み得る。フローチャート1000はまた、伝導層1102がシェル層906上に形成される工程を含み得る。
工程1004では、ナノワイヤは、このエッチングから反対の側面上のナノワイヤの長さに沿った伝導層の第1の部分、およびナノワイヤの長さに沿った第1の位置でこのナノワイヤの周りの伝導層のリング形状の第2の部分を除いて、基板上でエッチングされる。例えば、図14は、本発明の実施形態による、ナノワイヤ902の例示のエッチングを示す。伝導層1102の一部分は、任意の様式でナノワイヤ902から選択的にエッチングされ得る。図14の例に示されるように、フォトレジスト材料1402は、ナノワイヤ902上でパターン化され得、除去されるべきでないナノワイヤ902上の伝導層1102の部分を保護する。フォトリソグラフィープロセスが、例えば、用いられ得る。図14に示されるように、ナノワイヤ902は、エッチング供給源(例えば、化学的エッチング材料、レーザー光など)に曝されるか、または処理され得、伝導層1102の非保護部分を除去する。任意のタイプの適切な材料除去プロセスが用いられ得る。例えば、反応性イオンエッチング(RIE)またはその他のエッチング技法が用いられ得る。例えば、RIEエッチングプロセスでは、プラズマ電力、圧力、エッチングガス組成物、および/または基板バイアスが、イオンビームが表面により直接向かうように調整され得る。また、伝導層1502と下にある絶縁シェル906との間の高いエッチング選択性が、伝導層1502エッチングの間に絶縁層906のエッチングが最小になるように用いられる。これは、例えば、上記のようにエッチングプロセスを調整することによって達成され得る。
伝導層1102の一部分のこの除去は、電子デバイス900のための初期ゲート構造を形成する。図15は、初期ゲート構造を備えたナノワイヤ902の例示の断面図を示し、そして図16は、その例示の斜視図を示す。図15および16に示されるように、この初期ゲート構造は、伝導層1102のリング形状の第1の領域または部分1502、および伝導層1102の第2の領域または部分1504を含む。電子デバイス900のために、リング形状の第1の部分1502は、第1の「前方」ゲートを形成し、そして第2の部分1504は、第2の「後方」ゲートを形成する。伝導層1102のリング形状の第1の部分1502は、ナノワイヤ902の長さ918に沿った第1の位置1506(?)でナノワイヤ902の周りに位置決めされる。リング形状の第1の部分1502は、上記のようにフォトレジスト材料1402によって、またはその他の手段によって形状にされる。伝導層1102の第2の部分1504は、ナノワイヤ902の長さ918に沿って位置決めされる。第2の部分1504は、エッチング供給源(例えば、光1402)とは反対の側面上の伝導層1102中に形成される。なぜなら、コア904およびシェル層906は、エッチング供給源がナノワイヤ902の下の材料に影響することをブロックするからである。
図16に示されるような実施形態では、リング形状の第1の部分1502は、ナノワイヤ902を完全に取り囲む。あるいは、第1の部分1502は、ナノワイヤ902を部分的に取り囲むように形成され得る。換言すれば、第1の部分1502が、半円またはその他の一部のような部分円である実施形態では、それは、ナノワイヤ902の完全な周縁の周りに延びない。リング形状の第1の部分1502は、特定の適用によって要求されるように、ナノワイヤ902に沿った任意の幅を有して形成され得ることに注目のこと。
1つの実施形態では、随意のドーピング工程が実施され得、所望であれば、ソース領域およびドレイン領域をドープする。任意のドーピング技法が用いられ得る。例えば、このドーピングは、熱拡散、イオン移植、レーザー誘導ドーピング、プラズマイオン浸漬、またはプラズマイオンシャワーを用いて実施され得、次いで、迅速熱アニーリング(RTA)およびレーザーアニーリングなどが続く。
工程1006では、誘電性材料が基板上のナノワイヤ上に体積される。例えば、誘電性材料が堆積され、図17および18に示されるような誘電材料層1702を形成する。図17は、側方断面図を示し、そして図18は、ナノワイヤ902の端面図を示す。誘電材料層1702は、最終的なゲート接点とドレイン/ソース接点との間の絶縁体の基礎として用いられる。任意のタイプの誘電材料堆積プロセスが用いられ得、化学的蒸着(CVD)、または原子層堆積(ALD)のような蒸着を含む。層1702のための誘電材料は、任意のタイプの誘電材料であり得、SiO、SiN、Al、AlN、または本明細書中のいずれかで記載もしくは参照され、またはその他の公知のその他の誘電材料を含む。あるいは、その他の堆積技法および材料が用いられ得、ガラス上のスピン、ポリマー上のスピン(例えば、ポリイミド、BCB、SU8)、およびペレリンを含む。1つの実施形態では、これらの材料は、ナノワイヤ上に一致して被覆され、そして平坦化される。
工程1008では、ナノワイヤはエッチングされて、上記誘電材料、上記絶縁シェル層、およびコアの直径の部分を、ナノワイヤから、このナノワイヤに沿った第2の位置および第3の位置で除去する。例えば、図19に示されるように、フォトレジスト材料1902は、誘電材料層1702上でパターン化され得、誘電材料層1702、シェル層906、およびコア904のどの部分が除去されべきかを制御する。フォトリソグラフィープロセスが、例えば、用いられ得る。図19に示されるように、ナノワイヤ902は、エッチング供給源1904(例えば、化学的エッチング材料、反応性イオンエッチングなど)に曝されるか、または処理され、誘電材料層1702、シェル層906、およびコア904の保護されない部分を除去する。図19に示される第1の位置1910および第2の位置1920は、フォトレジスト材料1902によって保護されない。それ故、図20の側方断面図に示されるように、誘電材料層1702、シェル層906、およびコア904が第1の位置1910および第2の位置1920で除去され、コア904の部分922および924を剥き出す。図21は、コア904の部分922を剥き出すように、第1の位置1910で除去された誘電材料層1702、シェル層906、およびコア904を示す端面断面図を示す。図20に示されるように、誘電材料層1702の誘電材料926は、リング形状の第1の部分1502を覆う。
あるいは、平坦化プロセスのような、その他の材料除去/エッチング技法が用いられ得、第1の位置1910および第2の位置1920における、誘電材料層1702、シェル層906、およびコア904を除去する。例えば、プラズマ乾燥エッチングのようなエッチングが実施され得る。このプロセスは、ガス比率および/またはガス圧を変えることによって調整され得、コア904の材料(例えば、シリコン)と誘電材料層1702と間のエッチング選択性が実質的に等しく、接触領域における平面(例えば、第1および第2の剥き出た部分922および924)を、図21に示されるように実質的に平坦かつ平滑にする。いくつかの実施形態では、シリコンコアと誘電性材料との間の非常に高いエッチング選択性が望ましく、これは、ポリマーを基礎にする材料が、例えば、誘電材料として用いられるとき実現され得る。
上記に記載のように、1つの実施形態では、いくらかのコア904が工程1008の間に除去される。例えば、コア904の直径の1/4〜1/3が、または任意のその他の量が除去され得る。別の実施形態では、工程1008の間に、誘電材料層1702およびシェル層906かエッチングされ、コア904から材料を除去することなくコア904の表面を剥き出す。
工程1010では、ドレイン接点が第2の位置上に形成され、そしてソース接点が第3の位置上に形成される。例えば、図9に示されるように、ソース接点912およびドレイン接点914は、第1の剥き出た位置922および第2の剥き出た位置924中に形成される。例えば、金属堆積/金属化が、ソース接点912およびドレイン接点914を形成するめに実施され得る。フォトレジストが、金属化されるべきでない領域を覆うために用いられ得、そしてこのフォトレジストは、例えば、次に除去され得る。
従って、1つの実施形態では、電子デバイス900は、フローチャート1000のプロセスによって形成され得る。伝導層1102から形成されるリング形状の第1の部分1502は、電子デバイス900のリング形状の第1のゲート領域908である。伝導層1102から形成される第2の部分1504は、第2のゲート領域910である。
1つの実施形態では、工程1002で位置決めされたナノワイヤは、複数のナノワイヤとともに位置決めされる。このような実施形態では、工程1004、1006、1008、および1010はね複数のナノワイヤに対して実施され得、電子デバイスを形成する。それ故、ナノワイヤ902に類似する複数のナノワイヤが、整列され、または整列されずに位置決めされ得、電子デバイスを形成する。例えば、図22は、電子デバイス2200の一部分の端面断面図を示し、これは、複数の電子デバイス900a〜cを含む。電子デバイス900a〜cの各々は、個々のリング形状の第1のゲート領域908a〜cおよび第2のゲート領域910a〜cを有することに注目のこと。これらのゲートは、基板920のトレース/回路を通じて、またはその他で、一緒に電気的にカップルされ得る。さらに、共通ソース接点912は、電子デバイス900a〜cの各コアにカップルされ得る。
電子デバイス構造およびその製作のためのプロセスについての上記の説明はまた、薄膜トランジスターを基礎にしたアモルファスシリコン(a−Si)およびポリシリコン(ポリ−Si)に適用され得ることに注目のこと。
図23は、本発明のn−チャネルデバイス実施形態についてのシミュレーション結果のプロット2300を示す。プロット2300は、後方ゲート電圧が変化されるとき(例えば、第2のゲート領域910に付与される電圧、Vhandle)、ドレイン電流Id(Y−軸)(例えば、図9のドレイン接点914で測定された電流)のゲート電圧(X−軸)(例えば、リング形状の第1のゲート領域908に付与される電圧)に対する種々のプロットを示す。図23では、この後方ゲート電圧は、ステップ:−5V、0V、1V、3V、および5Vで変動される。
プロット2300は、この後方ゲート電圧が、0ボルトから上方に変動されるとき(すなわち、Vhandle≠0)、ドレイン電流が劇的に増加されることを示す。それ故、第2のゲート領域908のような後方ゲートの使用は、増加した電流容量を可能にする。
図24は、実際のp−チャネルデバイスからの結果のプロット2400を示し、図23のシミュレーションと類似の結果を示す。プロット2400では、0Vと−100Vの後方ゲート電圧が用いられる。プロット2400に示されるように、非ゼロ後方ゲート電圧は、増加した電流容量を提供する(すなわち、プロット2400では約3×より多い電流)。
従って、本発明の実施形態は、以下を含む多くの利点を提供する:
A.高電流駆動能力;
B.低シリーズ抵抗性;
C.ドーピングなしのオーム抵抗;および
D.ナノワイヤ適用には、性能を犠牲にすることなく、完全に低い製作/アセンブリ環境温度(例えば、T<200゜)が可能である。
(結論)
本発明の種々の実施形態が上記に記載されているが、それらは例示によってのみ提示され、そして制限ではないことが理解されるべきである。当業者には、形態および詳細における種々の変更が、本発明の思想および範囲から逸脱することなくその中でなされ得ることは明らかである。それ故、本発明の広さ、および範囲は、上記に記載の例示の実施形態のいずれによっても制限されるべきではなく、添付の請求項およびそれらの等価物に従ってのみ規定されるべきである。
本明細書中に援用され、そして明細書の一部を形成する添付の図面は、本発明を示し、そしてその説明とともに、本発明の原理を説明するために供され、そして当業者が本発明を作製し、そして用いることを可能にする。
図1は、基板上のナノワイヤを基礎にする電子デバイスの例を示す。 図2Aおよび2Bは、本発明の実施形態による、重複ゲート構造をもつ例示のナノワイヤを基礎にした電子デバイスを示す。 図3は、ナノワイヤの長さの一部分にまたがるゲート接点を示す。 図4は、本発明の実施形態による、二重ゲートを有する電子デバイスを示す。 図5は、本発明の実施形態による、図2Aおよび2Bのナノワイヤを基礎にした電子デバイスの例示の作動を示す。 図6は、本発明の実施形態による、図2Aおよび2Bのナノワイヤを基礎にした電子デバイスの例示の作動を示す。 図7Aは、本発明の例示の実施形態による、図2Bのナノワイヤを基礎にした電子デバイスの端面図を示す。 図7Bは、本発明の実施形態による、コア−シェルナノワイヤを含む電子デバイスを示す。 図7Cは、本発明の例示の実施形態による、図7Bの電子デバイスの側方断面図を示す。 図7Dは、本発明の例示の実施形態による、複数のナノワイヤを含む電子デバイスの端面図である。 図7Eは、本発明の例示の実施形態による、複数のナノワイヤを含む電子デバイスの端面図である。 図8は、本発明の例示の実施形態による、図2Aおよび2Bに示されるような電子デバイスを製作するための例示の工程を提供するフローチャートを示す。 図9は、本発明の実施形態による、例示の非対称二重ゲート形態を有する電子デバイスの断面図を示す。 図10は、本発明の例示の実施形態による、図9の電子デバイスを製作するための例示の工程を提供するフローチャートを示す。 図11は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図12は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図13は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図14は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図15は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図16は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図17は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図18は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図19は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図20は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図21は、本発明の実施形態による、例示の製作プロセスの間の図9の電子デバイスの種々の図を示す。 図22は、本発明の例示の実施形態による、各々が非対称二重ゲートを有する複数のナノワイヤを有する電子デバイスを示す。 図23は、本発明の例示の実施形態により、非対称ゲート構造を備えた電子デバイスについてシミュレーション結果のプロットを示す。 図24は、本発明の例示の実施形態による、非対称ゲート構造を備えた電子デバイスについて実際の作動結果のプロットを示す。

Claims (31)

  1. 電子デバイスであって:
    少なくとも1つのナノワイヤ;
    該少なくとも1つのナノワイヤの長さの少なくとも一部分に沿って位置決めされたゲート接点;
    該ゲート接点と該少なくとも1つのナノワイヤとの間の誘電性材料層;
    該少なくとも1つのナノワイヤと接触するソース接点;
    該少なくとも1つのナノワイヤと接触するドレイン接点;を備え、そして
    ここで、該ソース接点および該ドレイン接点の少なくとも一部分が、該少なくとも1つのナノワイヤの該長さの該一部分と重複する、電子デバイス。
  2. 前記誘性電材料層が、前記少なくとも1つのナノワイヤの周りに形成されたシェル層である、請求項1に記載の電子デバイス。
  3. 前記電子デバイスが基板上に形成され、ここで、誘電性材料が該基板上に堆積され、前記誘電性材料層を形成する、請求項1に記載の電子デバイス。
  4. 前記少なくとも1つのナノワイヤが、複数の整列されたナノワイヤを備える、請求項1に記載の電子デバイス。
  5. 前記少なくとも1つのナノワイヤが、複数のランダムに配向されたナノワイヤを備える、請求項1に記載の電子デバイス。
  6. 基板をさらに備える請求項1に記載の電子デバイスであって、前記ソース接点および前記ドレイン接点が該基板上に形成され、前記少なくとも1つのナノワイヤが該ソース接点および該ドレイン接点上に堆積され、そして前記ゲート接点が前記少なくとも1つのナノワイヤ上の前記誘電性層上に形成される、電子デバイス。
  7. 基板をさらに備える請求項1に記載の電子デバイスであって、前記ゲート接点が該基板上に形成され、前記少なくとも1つのナノワイヤが該ゲート接点上に堆積され、そして前記ソース接点および前記ドレイン接点が該少なくとも1つのナノワイヤ上に形成される、電子デバイス。
  8. 前記誘電性材料層が、前記ゲート接点上の前記少なくとも1つのナノワイヤの堆積の前に該ゲート接点上に形成される、請求項7に記載の電子デバイス。
  9. 前記電子デバイスが、トランジスターである、請求項1に記載の電子デバイス。
  10. 前記トランジスターが、電解効果トランジスター(FET)である、請求項1に記載の電子デバイス。
  11. 電子デバイスであって:
    半導体ナノワイヤ;
    該ナノワイヤに接続されたドレイン接点およびソース接点;および
    該ナノワイヤの長さに沿って該ドレイン接点および該ソース接点の少なくとも1つと重複する、該ナノワイヤに接続されるゲート接点を備える、電子デバイス。
  12. 前記ゲート接点と前記ナノワイヤとの間に位置決めされる誘電性材料をさらに備える、請求項11に記載の電子デバイス。
  13. 複数の半導体ナノワイヤ;
    該複数の半導体ナノワイヤに接続されるドレイン接点およびソース接点;および
    該複数の半導体ナノワイヤの長さに沿って該ドレイン接点および該ソース接点の少なくとも1つと重複する、該複数の半導体ナノワイヤに接続されるゲート接点を備える、電子デバイス。
  14. 前記ゲート接点と前記複数の半導体ナノワイヤとの間に位置決めされる誘電性材料をさらに備える、請求項13に記載の電子デバイス。
  15. 前記複数の半導体ナノワイヤの各々のナノワイヤが、半導体コアおよび該半導体コアを取り囲むシェル層を有し、ここで、該シェルが前記誘電性材料である、請求項14に記載の電子デバイス。
  16. 前記複数の半導体ナノワイヤが整列されている、請求項13に記載の電子デバイス。
  17. 電子デバイスを製作するための方法であって:
    (a)基板上にナノワイヤを位置決めする工程であって、該ナノワイヤが半導体コアを有し、絶縁性シェル層が該コアを取り囲み、そして導体層が該絶縁性シェル層を取り囲む工程;
    (b)該基板上の該ナノワイヤをエッチングする工程であって、該ナノワイヤの長さに沿った第1の位置にある該ナノワイヤの周りの導体層のリング形状の第1の部分、および該エッチングから反対の側の該ナノワイヤの長さに沿った導体層の第2の部分を除き、該ナノワイヤから該導体層を除去する工程;
    (c)該基板上の該ナノワイヤ上に誘電性材料を位置決めする工程;
    (d)該ナノワイヤをエッチングする工程であって、該ナノワイヤの長さに沿った第2の位置および第3の位置で、該誘電性材料、該絶縁性シェル層、および該コアの直径の部分を除去し、ここで、該第2の位置および該第3の位置が第1の位置の反対側である工程;および
    (e)該第2の位置上にドレイン接点を、そして該第3の位置上にソース接点を形成する工程を包含する、方法。
  18. 工程(a)で堆積される前記ナノワイヤが、複数のナノワイヤで位置決めされ、ここで、工程(b)〜(e)が該複数のナノワイヤ上で実施され、前記電子デバイスを形成する、請求項17に記載の方法。
  19. 工程(b)が:フォトレジスト材料を前記ナノワイヤに付与すること;
    該フォトレジスト材料を該ナノワイヤから、前記第1の位置を除いて除去すること;および
    エッチングを用いる工程であって、前記リング形状の第1の部分および前記第2の部分を除いて該ナノワイヤから導体層を除去することを包含する、請求項17に記載の方法。
  20. 工程(d)が:
    フォトレジスト材料を前記ナノワイヤに付与すること;
    該フォトレジスト材料を該ナノワイヤから第2の位置および第3の位置で除去すること;
    前記誘電性材料、絶縁性シェル層、および前記コアの直径の部分を、前記第2の位置および第3の位置で該ナノワイヤから除去するためにエッチングを用いることを包含する、請求項17に記載の方法。
  21. 工程(b)が:反応性イオンエッチングを用いることを包含する、請求項17に記載の方法。
  22. 前記用いることが:イオンビームを調節するために、プラズマ電力、プラズマ圧力、および基板バイアスの少なくとも1つを調整する、請求項21に記載の方法。
  23. 工程(c)が:蒸着を用いることを包含する、請求項17に記載の方法。
  24. 前記用いることが:化学的蒸着をもちいることを包含する、請求項23に記載の方法。
  25. 工程(c)が:ガラスプロセス上のスピン、ポリマープロセス上のスピン、の少なくとも1つを用いること、およびペレリンを付与することを包含する、請求項17に記載の方法。
  26. 工程(d)が:プラズマ乾燥エッチングを用いることを包含する、請求項17に記載の方法。
  27. 前記用いることが:前記コアと前記誘電性材料との間のエッチ選択性を制御するためにガス比およびガス圧の少なくとも1つを調整することを包含する、請求項26に記載の方法。
  28. 前記調整することが:実質的に平坦な表面を生成するように前記コアおよび前記誘電性材料のエッチ速度を実質的に等しくするために前記ガス比および前記ガス圧の少なくとも1つを調整することを包含する、請求項27に記載の方法。
  29. 工程(e)が:前記ドレイン接点およびソース接点をそれぞれ形成するために前記第2の位置および第3の位置を金属化することを包含する、請求項17に記載の方法。
  30. 基板上の電子デバイスであって:
    絶縁シェル層によって取り囲まれた半導体コアを有するナノワイヤ;
    該ナノワイヤの長さの部分に沿って該ナノワイヤを取り囲むリング形状の第1のゲート領域;
    該ナノワイヤと該基板との間の該ナノワイヤの長さに沿って位置決めされる第2のゲート領域;および
    該半導体コアの個々の剥き出た部分で該ナノワイヤの該半導体コアに接続されるソース接点およびドレイン接点、を備える、電子デバイス。
  31. 基板上の電子デバイスであって:
    複数の整列されたナノワイヤであって、各々が絶縁シェル層によって取り囲まれた半導体コアを有するナノワイヤ;
    該ナノワイヤの長さの一部分に沿って該ナノワイヤの各々を囲う第1のゲート領域;
    該ナノワイヤの各々と該基板との間の該ナノワイヤの各々の長さに沿って位置決めされる第2のゲート領域;および
    該半導体コアの個々の剥き出た部分で該ナノワイヤの各々の該半導体コアに接続されるソース接点およびドレイン接点、を備える、電子デバイス。
JP2007536993A 2004-10-15 2005-10-14 ナノワイヤを基礎にした電子デバイスにおけるゲート開閉形態および改良された接点のための方法、システム、および装置 Pending JP2008517468A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61876204P 2004-10-15 2004-10-15
PCT/US2005/037237 WO2007030126A2 (en) 2004-10-15 2005-10-14 Method, system, and apparatus for gating configurations and improved contacts in nanowire-based electronic devices

Publications (2)

Publication Number Publication Date
JP2008517468A true JP2008517468A (ja) 2008-05-22
JP2008517468A5 JP2008517468A5 (ja) 2008-11-06

Family

ID=37836293

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007536993A Pending JP2008517468A (ja) 2004-10-15 2005-10-14 ナノワイヤを基礎にした電子デバイスにおけるゲート開閉形態および改良された接点のための方法、システム、および装置

Country Status (8)

Country Link
US (3) US7473943B2 (ja)
EP (1) EP1810340A2 (ja)
JP (1) JP2008517468A (ja)
KR (1) KR20070063597A (ja)
CN (1) CN101401210B (ja)
AU (1) AU2005336130B2 (ja)
CA (1) CA2589432C (ja)
WO (1) WO2007030126A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013543659A (ja) * 2010-10-01 2013-12-05 アプライド マテリアルズ インコーポレイテッド 薄膜トランジスタ用途に用いられる砒化ガリウムに基づく材料
JP2014195073A (ja) * 2013-03-15 2014-10-09 Dow Global Technologies Llc マルチヘテロ接合ナノ粒子、その製造方法および同ナノ粒子を含む物品

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8958917B2 (en) * 1998-12-17 2015-02-17 Hach Company Method and system for remote monitoring of fluid quality and treatment
US9056783B2 (en) * 1998-12-17 2015-06-16 Hach Company System for monitoring discharges into a waste water collection system
US20110125412A1 (en) * 1998-12-17 2011-05-26 Hach Company Remote monitoring of carbon nanotube sensor
US7454295B2 (en) 1998-12-17 2008-11-18 The Watereye Corporation Anti-terrorism water quality monitoring system
US8920619B2 (en) 2003-03-19 2014-12-30 Hach Company Carbon nanotube sensor
US7473943B2 (en) * 2004-10-15 2009-01-06 Nanosys, Inc. Gate configuration for nanowire electronic devices
US8883568B2 (en) * 2008-06-10 2014-11-11 Brown University Research Foundation Method providing radial addressing of nanowires
WO2006084128A2 (en) * 2005-02-04 2006-08-10 Brown University Apparatus, method and computer program product providing radial addressing of nanowires
KR101100887B1 (ko) * 2005-03-17 2012-01-02 삼성전자주식회사 박막 트랜지스터, 박막 트랜지스터 표시판 및 그 제조 방법
US20060231237A1 (en) * 2005-03-21 2006-10-19 Carlos Dangelo Apparatus and method for cooling ICs using nano-rod based chip-level heat sinks
US7468271B2 (en) * 2005-04-06 2008-12-23 President And Fellows Of Harvard College Molecular characterization with carbon nanotube control
US7649665B2 (en) * 2005-08-24 2010-01-19 The Trustees Of Boston College Apparatus and methods for optical switching using nanoscale optics
US7906803B2 (en) * 2005-12-06 2011-03-15 Canon Kabushiki Kaisha Nano-wire capacitor and circuit device therewith
US8119032B2 (en) * 2006-02-07 2012-02-21 President And Fellows Of Harvard College Gas-phase functionalization of surfaces including carbon-based surfaces
CN101873992B (zh) * 2006-02-07 2013-11-06 哈佛大学校长及研究员协会 碳纳米管的气相官能化
WO2008018726A1 (en) * 2006-08-07 2008-02-14 Seoul National University Industry Foundation Nanostructure sensors
US7667260B2 (en) * 2006-08-09 2010-02-23 Micron Technology, Inc. Nanoscale floating gate and methods of formation
US7999251B2 (en) * 2006-09-11 2011-08-16 International Business Machines Corporation Nanowire MOSFET with doped epitaxial contacts for source and drain
US7858918B2 (en) * 2007-02-05 2010-12-28 Ludwig Lester F Molecular transistor circuits compatible with carbon nanotube sensors and transducers
US7838809B2 (en) 2007-02-17 2010-11-23 Ludwig Lester F Nanoelectronic differential amplifiers and related circuits having carbon nanotubes, graphene nanoribbons, or other related materials
US7923310B2 (en) * 2007-07-17 2011-04-12 Sharp Laboratories Of America, Inc. Core-shell-shell nanowire transistor and fabrication method
WO2009085356A2 (en) * 2007-10-01 2009-07-09 University Of Southern California Usc Stevens Methods of using and constructing nanosensor platforms
US8188513B2 (en) 2007-10-04 2012-05-29 Stc.Unm Nanowire and larger GaN based HEMTS
US20100204062A1 (en) * 2008-11-07 2010-08-12 University Of Southern California Calibration methods for multiplexed sensor arrays
EP2374155A1 (en) * 2008-12-19 2011-10-12 Hewlett-Packard Development Company, L.P. Photovoltaic structure and method of fabrication employing nanowire on stub
FR2942660B1 (fr) * 2009-02-27 2011-04-01 Commissariat Energie Atomique Dispositif capteur a base de nanofils
EP2409327A1 (de) * 2009-03-20 2012-01-25 Microgan Gmbh Vertikal kontaktiertes elektronisches bauelement sowie verfahren zur herstellung eines solchen
WO2010115143A1 (en) * 2009-04-03 2010-10-07 University Of Southern California Surface modification of nanosensor platforms to increase sensitivity and reproducibility
US8368125B2 (en) 2009-07-20 2013-02-05 International Business Machines Corporation Multiple orientation nanowires with gate stack stressors
WO2011010542A1 (en) * 2009-07-23 2011-01-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8129247B2 (en) 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8455334B2 (en) 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8143113B2 (en) 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8368123B2 (en) * 2009-12-23 2013-02-05 Nokia Corporation Apparatus for sensing an event
US8722492B2 (en) * 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8394710B2 (en) * 2010-06-21 2013-03-12 International Business Machines Corporation Semiconductor devices fabricated by doped material layer as dopant source
US8680510B2 (en) * 2010-06-28 2014-03-25 International Business Machines Corporation Method of forming compound semiconductor
US8298881B2 (en) * 2010-06-28 2012-10-30 International Business Machines Corporation Nanowire FET with trapezoid gate structure
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
US9040364B2 (en) * 2012-10-30 2015-05-26 International Business Machines Corporation Carbon nanotube devices with unzipped low-resistance contacts
CN104979403A (zh) * 2015-05-20 2015-10-14 北京大学 导电沟道全包裹纳米线平面环栅场效应器件及其制备方法
US10396300B2 (en) * 2015-12-03 2019-08-27 International Business Machines Corporation Carbon nanotube device with N-type end-bonded metal contacts
CN108091569A (zh) * 2016-11-23 2018-05-29 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US10985164B1 (en) * 2019-09-27 2021-04-20 Nanya Technology Corporation Semiconductor device with nanowire contact and method for fabricating the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07135325A (ja) * 1993-09-17 1995-05-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1197691A (ja) * 1997-09-18 1999-04-09 Toshiba Corp 薄膜トランジスタおよび接合構造
WO2004032191A2 (en) * 2002-09-30 2004-04-15 Nanosys, Inc. Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
JP2004221530A (ja) * 2002-12-27 2004-08-05 Toshiba Corp 半導体装置

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962863A (en) 1993-09-09 1999-10-05 The United States Of America As Represented By The Secretary Of The Navy Laterally disposed nanostructures of silicon on an insulating substrate
EP0661733A2 (en) 1993-12-21 1995-07-05 International Business Machines Corporation One dimensional silicon quantum wire devices and the method of manufacture thereof
US5920078A (en) 1996-06-20 1999-07-06 Frey; Jeffrey Optoelectronic device using indirect-bandgap semiconductor material
EP0933346B1 (en) * 1996-07-31 2003-12-10 Shionogi & Co., Ltd. NOVEL p-TERPHENYL COMPOUNDS
KR100277881B1 (ko) 1998-06-16 2001-02-01 김영환 트랜지스터
US6256767B1 (en) 1999-03-29 2001-07-03 Hewlett-Packard Company Demultiplexer for a molecular wire crossbar network (MWCN DEMUX)
US6815218B1 (en) 1999-06-09 2004-11-09 Massachusetts Institute Of Technology Methods for manufacturing bioelectronic devices
CA2372707C (en) 1999-07-02 2014-12-09 President And Fellows Of Harvard College Nanoscopic wire-based devices, arrays, and method of their manufacture
US6438025B1 (en) 1999-09-08 2002-08-20 Sergei Skarupo Magnetic memory device
AU2248301A (en) 1999-10-27 2001-05-08 William Marsh Rice University Macroscopic ordered assembly of carbon nanotubes
RU2173003C2 (ru) 1999-11-25 2001-08-27 Септре Электроникс Лимитед Способ образования кремниевой наноструктуры, решетки кремниевых квантовых проводков и основанных на них устройств
KR100360476B1 (ko) 2000-06-27 2002-11-08 삼성전자 주식회사 탄소나노튜브를 이용한 나노 크기 수직 트랜지스터 및 그제조방법
WO2002003472A2 (en) 2000-06-29 2002-01-10 California Institute Of Technology Aerosol silicon nanoparticles for use in semiconductor device fabrication
JP4112358B2 (ja) 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 電界効果トランジスタ
US6447663B1 (en) 2000-08-01 2002-09-10 Ut-Battelle, Llc Programmable nanometer-scale electrolytic metal deposition and depletion
TWI294636B (en) 2000-08-22 2008-03-11 Harvard College Doped elongated semiconductor articles, growing such articles, devices including such articles and fabricating such devices
US7301199B2 (en) 2000-08-22 2007-11-27 President And Fellows Of Harvard College Nanoscale wires and related devices
JP3386789B2 (ja) 2000-09-29 2003-03-17 富士通カンタムデバイス株式会社 半導体装置及びその製造方法
DE10060120B4 (de) * 2000-12-04 2005-12-22 Gkn Driveline International Gmbh Kugelgleichlaufgelenk als Gegenbahngelenk
KR100984603B1 (ko) 2000-12-11 2010-09-30 프레지던트 앤드 펠로우즈 오브 하버드 칼리지 나노센서
US6423583B1 (en) 2001-01-03 2002-07-23 International Business Machines Corporation Methodology for electrically induced selective breakdown of nanotubes
US6593065B2 (en) 2001-03-12 2003-07-15 California Institute Of Technology Method of fabricating nanometer-scale flowchannels and trenches with self-aligned electrodes and the structures formed by the same
CA2442985C (en) 2001-03-30 2016-05-31 The Regents Of The University Of California Methods of fabricating nanostructures and nanowires and devices fabricated therefrom
US7084507B2 (en) 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
US6787179B2 (en) * 2001-06-29 2004-09-07 Ethicon, Inc. Sterilization of bioactive coatings
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6896864B2 (en) 2001-07-10 2005-05-24 Battelle Memorial Institute Spatial localization of dispersed single walled carbon nanotubes into useful structures
US6672925B2 (en) 2001-08-17 2004-01-06 Motorola, Inc. Vacuum microelectronic device and method
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
WO2003019586A1 (en) 2001-08-30 2003-03-06 Koninklijke Philips Electronics N.V. Magnetoresistive device and electronic device
JP2003108021A (ja) 2001-09-28 2003-04-11 Hitachi Ltd 表示装置
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
WO2003050854A2 (en) 2001-12-12 2003-06-19 The Pennsylvania State University Chemical reactor templates: sacrificial layer fabrication and template use
US7049625B2 (en) 2002-03-18 2006-05-23 Max-Planck-Gesellschaft Zur Fonderung Der Wissenschaften E.V. Field effect transistor memory cell, memory device and method for manufacturing a field effect transistor memory cell
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US20030189202A1 (en) 2002-04-05 2003-10-09 Jun Li Nanowire devices and methods of fabrication
US6760245B2 (en) 2002-05-01 2004-07-06 Hewlett-Packard Development Company, L.P. Molecular wire crossbar flash memory
AU2003261205A1 (en) 2002-07-19 2004-02-09 President And Fellows Of Harvard College Nanoscale coherent optical components
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7115916B2 (en) 2002-09-26 2006-10-03 International Business Machines Corporation System and method for molecular optical emission
US7067867B2 (en) * 2002-09-30 2006-06-27 Nanosys, Inc. Large-area nonenabled macroelectronic substrates and uses therefor
US7135728B2 (en) * 2002-09-30 2006-11-14 Nanosys, Inc. Large-area nanoenabled macroelectronic substrates and uses therefor
US6918284B2 (en) 2003-03-24 2005-07-19 The United States Of America As Represented By The Secretary Of The Navy Interconnected networks of single-walled carbon nanotubes
US6916195B2 (en) * 2003-03-26 2005-07-12 Intel Corporation Land grid array socket loading device
US7323730B2 (en) * 2004-07-21 2008-01-29 Commissariat A L'energie Atomique Optically-configurable nanotube or nanowire semiconductor device
US7473943B2 (en) * 2004-10-15 2009-01-06 Nanosys, Inc. Gate configuration for nanowire electronic devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07135325A (ja) * 1993-09-17 1995-05-23 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH1197691A (ja) * 1997-09-18 1999-04-09 Toshiba Corp 薄膜トランジスタおよび接合構造
WO2004032191A2 (en) * 2002-09-30 2004-04-15 Nanosys, Inc. Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
JP2004221530A (ja) * 2002-12-27 2004-08-05 Toshiba Corp 半導体装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013543659A (ja) * 2010-10-01 2013-12-05 アプライド マテリアルズ インコーポレイテッド 薄膜トランジスタ用途に用いられる砒化ガリウムに基づく材料
US9780223B2 (en) 2010-10-01 2017-10-03 Applied Materials, Inc. Gallium arsenide based materials used in thin film transistor applications
JP2014195073A (ja) * 2013-03-15 2014-10-09 Dow Global Technologies Llc マルチヘテロ接合ナノ粒子、その製造方法および同ナノ粒子を含む物品

Also Published As

Publication number Publication date
CN101401210B (zh) 2011-05-11
US20060081886A1 (en) 2006-04-20
US7701014B2 (en) 2010-04-20
AU2005336130B2 (en) 2011-02-24
KR20070063597A (ko) 2007-06-19
WO2007030126A3 (en) 2009-04-16
WO2007030126A9 (en) 2007-05-18
US20090050974A1 (en) 2009-02-26
US7473943B2 (en) 2009-01-06
EP1810340A2 (en) 2007-07-25
US20100144103A1 (en) 2010-06-10
CA2589432A1 (en) 2007-03-15
WO2007030126A2 (en) 2007-03-15
CN101401210A (zh) 2009-04-01
AU2005336130A1 (en) 2007-03-15
CA2589432C (en) 2014-08-05
US7871870B2 (en) 2011-01-18

Similar Documents

Publication Publication Date Title
US7701014B2 (en) Gating configurations and improved contacts in nanowire-based electronic devices
AU2003283973B2 (en) Large-area nanoenabled macroelectronic substrates and uses therefor
US7135728B2 (en) Large-area nanoenabled macroelectronic substrates and uses therefor
US7262501B2 (en) Large-area nanoenabled macroelectronic substrates and uses therefor
US7345307B2 (en) Fully integrated organic layered processes for making plastic electronics based on conductive polymers and semiconductor nanowires

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080911

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080911

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120229

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120801