CN101873992B - 碳纳米管的气相官能化 - Google Patents

碳纳米管的气相官能化 Download PDF

Info

Publication number
CN101873992B
CN101873992B CN2007800123438A CN200780012343A CN101873992B CN 101873992 B CN101873992 B CN 101873992B CN 2007800123438 A CN2007800123438 A CN 2007800123438A CN 200780012343 A CN200780012343 A CN 200780012343A CN 101873992 B CN101873992 B CN 101873992B
Authority
CN
China
Prior art keywords
nanotube
layer
functionalized
carbon nanotube
walled carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007800123438A
Other languages
English (en)
Other versions
CN101873992A (zh
Inventor
R·G·戈顿
D·B·法默
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Harvard College
Original Assignee
Harvard College
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Harvard College filed Critical Harvard College
Publication of CN101873992A publication Critical patent/CN101873992A/zh
Application granted granted Critical
Publication of CN101873992B publication Critical patent/CN101873992B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/158Carbon nanotubes
    • C01B32/16Preparation
    • C01B32/162Preparation characterised by catalysts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B1/00Nanostructures formed by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/158Carbon nanotubes
    • C01B32/168After-treatment
    • C01B32/174Derivatisation; Solubilisation; Dispersion in solvents
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01FCOMPOUNDS OF THE METALS BERYLLIUM, MAGNESIUM, ALUMINIUM, CALCIUM, STRONTIUM, BARIUM, RADIUM, THORIUM, OR OF THE RARE-EARTH METALS
    • C01F7/00Compounds of aluminium
    • C01F7/02Aluminium oxide; Aluminium hydroxide; Aluminates
    • C01F7/30Preparation of aluminium oxide or hydroxide by thermal decomposition or by hydrolysis or oxidation of aluminium compounds
    • C01F7/302Hydrolysis or oxidation of gaseous aluminium compounds in the gaseous phase
    • C01F7/304Hydrolysis or oxidation of gaseous aluminium compounds in the gaseous phase of organic aluminium compounds
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • H10K85/225Carbon nanotubes comprising substituents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2202/00Structure or properties of carbon nanotubes
    • C01B2202/02Single-walled nanotubes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2202/00Structure or properties of carbon nanotubes
    • C01B2202/06Multi-walled nanotubes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2202/00Structure or properties of carbon nanotubes
    • C01B2202/20Nanotubes characterized by their properties
    • C01B2202/22Electronic properties
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/10Particle morphology extending in one dimension, e.g. needle-like
    • C01P2004/13Nanotubes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/491Vertical transistors, e.g. vertical carbon nanotube field effect transistors [CNT-FETs]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • Y10S977/745Carbon nanotubes, CNTs having a modified surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • Y10S977/745Carbon nanotubes, CNTs having a modified surface
    • Y10S977/748Modified with atoms or molecules bonded to the surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/734Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
    • Y10S977/742Carbon nanotubes, CNTs
    • Y10S977/75Single-walled
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/755Nanosheet or quantum barrier/well, i.e. layer structure having one dimension or thickness of 100 nm or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/842Manufacture, treatment, or detection of nanostructure for carbon nanotubes or fullerenes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/842Manufacture, treatment, or detection of nanostructure for carbon nanotubes or fullerenes
    • Y10S977/847Surface modifications, e.g. functionalization, coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/86Scanning probe structure
    • Y10S977/875Scanning probe structure with tip detail
    • Y10S977/878Shape/taper
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/89Deposition of materials, e.g. coating, cvd, or ald
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/89Deposition of materials, e.g. coating, cvd, or ald
    • Y10S977/891Vapor phase deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thermal Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Thin Film Transistor (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在使碳纳米管表面官能化的方法中,将纳米管表面暴露于至少一种包含至少一种非共价键结纳米管表面、同时在纳米管表面上提供化学官能团的官能化物质的蒸气中,从而得到官能化的纳米管表面。可将官能化的纳米管表面暴露于至少一种与官能化层反应形成使官能化层稳定化而抵抗从纳米管表面脱附的稳定层、同时在纳米管表面上提供化学官能团的蒸气稳定物质,从而得到稳定的纳米管表面。可将稳定的纳米管表面暴露于至少一种在稳定的纳米管表面上沉积材料层的材料层前体物质。

Description

碳纳米管的气相官能化
相关申请的交叉引用
本申请要求2006年2月7日提交的美国临时申请第60/766,000号的优先权,其全文通过引用结合到本文中。
关于联邦资助的研究的声明
本发明是在政府支持下在NSF授予的合同第CTS-0236584号下完成的。政府对本发明具有一定的权利。
发明背景
本发明涉及碳纳米管,更详细地讲涉及在碳纳米管表面上形成材料层的技术。
碳纳米管日益用于各种纳米体系和纳米器件中。独特的电子结构、优越的弹性性质和极高的纵横比,碳纳米管的所有特征解决了纳米体系和纳米器件当前所关注的许多考虑问题。在微细加工这类基于碳纳米管的体系和器件的过程中,可能需要或要求在纳米管表面上沉积一个或多个材料层。同轴涂覆碳纳米管,使得纳米管的纵向同轴表面基本完全由一个或多个涂层所围绕,这对于例如提供同轴对称的纳米管结构和材料性质可尤其合乎需要。周遭栅极晶体管及其他器件构造尤其依赖这类同轴涂层配置。此外,经常用于感测应用的基于悬置碳纳米管的器件的几何结构一般优选用同轴涂覆的纳米管实现。
纳米管壁构造、电子结构和表面性质都可影响所选材料在纳米管表面上沉积的能力。例如,多壁碳纳米管(MWNT)的均匀保形涂层可在一些条件下通过有原子层沉积(ALD)得到。ALD允许多种材料在相对低的加工温度下以优良的厚度精确性和高组成均匀性沉积,因此它对于许多应用为具有吸引力的沉积技术。通过使这种高度沉积精确性实现,ALD充分解决了碳纳米管纳米级几何结构并克服了CVD沉积技术的缺点。但是对于许多应用来说,应理解的是MWNT可通过ALD方法涂覆,只是因为MWNT的特点在于在纳米管表面上存在可充当ALD前体的成核点的缺陷。因此不能保证MWNT ALD涂层为可再生成或均匀的。单壁碳纳米管(SWNT)的特点在于具有比MWNT更加理想且无缺陷的表面结构。发现SWNT在化学上对ALD前体分子具有惰性。因此,通过ALD涂覆到SWNT上的连续ALD涂层通常不可按照惯例对于任何工艺条件来实现。
发现存在所选涂覆材料或涂覆方法的其他类似情形与选择的纳米管壁结构或其他构造不相容。例如,化学气相沉积方法(CVD)通常不能保证得到均匀的纳米管同轴涂层,且可需要等离子体或如此高以至于影响纳米管的电学或机械性质的沉积温度。对于许多工艺条件来说,SWNT在小于约400℃的CVD温度下在化学上对CVD前体具有惰性。尽管物理沉积方法(PVD)如溅镀或蒸发有时可直接沉积金属或其他材料到SWNT上,但是这类沉积并不保形且不均匀围绕管,这是由于例如所述方法的定向性质所致。纳米管上的“保形”涂层在此是指在每个方向上都以均匀厚度完全围绕纳米管包裹的涂层。因此难以可靠且良好地制成围绕纳米管的保形材料层。
对于许多应用来说,需要在电子器件如同轴栅极纳米管晶体管的形成中用绝热金属材料均匀且保形地涂覆纳米管。但是,一般来说,可能难以用所选材料均匀且保形地涂覆纳米管,尤其是SWNT。如上文所说明,SWNT对ALD前体具有惰性,因此不能通过ALD方法涂覆。CVD和PVD技术都不可靠地在纳米管上生成薄、均匀且保形的层。已知液体化学沉积方法能够用低κ电介质SiO2涂覆SWNT,但是不能使高κ材料沉积在纳米管上。
已经建议,为了克服这个困难,可首先使纳米管表面官能化以使表面对沉积前体分子敏感,由此使得能够通过所选技术如ALD沉积。例如,可使用基于液体的技术通过共价化学键结官能化层到SWNT表面而使SWNT表面官能化。所得层提供共价键结纳米管纵向侧壁上的官能团,所述官能团可与沉积前体如ALD前体分子反应。
虽然这种技术确实能够在共价官能化的SWNT表面上沉积均匀的ALD薄膜,但是基于液体的方法程序冗长且对于大规模制造情形会不实用。此外,化学键结方法的共价性质通常可改变纳米管的混杂状态,破坏纳米管的光电子和/或其他性质。可需要官能化后热处理或其他方法来恢复这种官能化纳米管的初始混杂状态。因此,迄今为止利用共价官能化作为使所选材料、尤其是绝缘材料能够均匀沉积在纳米管上的方法并不实用。
发明概述
本发明通过提供官能化方法克服了共价键结、基于液体的纳米管表面官能化技术的缺点,所述官能化方法借助于蒸气处理仅需要弱的物理键结(如非共价键结)碳纳米管以在纳米管上生成官能化层。
在本发明提供的一种使碳纳米管表面官能化的方法中,将纳米管表面暴露于至少一种包含至少一种非共价键结纳米管表面、在纳米管表面上提供化学官能团的官能化物质的蒸气中,以得到官能化的纳米管表面。因此提供官能化的单壁碳纳米管,它具有包括非共价键结纳米管表面且在纳米管表面之上提供化学官能团的官能化层的同轴纳米管结构。可将官能化的纳米管表面暴露于至少一种在官能化的纳米管表面上沉积材料层的材料层前体物质。
根据本发明,可将官能化的纳米管表面暴露于至少一种与官能化层反应,形成使官能化层稳定化而抵抗自纳米管表面脱附的稳定层、同时在纳米管表面上提供化学官能团的蒸气稳定物质,以得到稳定的纳米管表面。随后可将稳定的纳米管表面暴露于至少一种在稳定的纳米管表面上沉积材料层的材料层前体物质。
在本发明提供的一种示例性官能化和稳定化方法中,使纳米管暴露于NO2蒸气和三甲基铝蒸气中循环交替25-50个暴露循环,随后使纳米管暴露于水蒸气和三甲基铝蒸气中循环交替至少5个暴露循环。
本发明采用这种方法以提供形成同轴碳纳米管晶体管栅极的方法。在此方法中,将纳米管的基本完全同轴表面暴露于至少一种包含至少一种非共价键结纳米管表面、提供化学官能团的官能化层的蒸气中,以得到官能化的纳米管表面。随后将官能化的纳米管表面暴露于至少一种与官能化层反应,形成使官能化层稳定化而抵抗自纳米管表面脱附的稳定层、同时在纳米管表面上提供化学官能团的蒸气稳定物质,以得到稳定的纳米管表面。随后将稳定的纳米管表面暴露于至少一种在稳定的纳米管表面上沉积电绝缘层的材料层前体物质中。随后将电绝缘层暴露于至少一种在绝缘层上沉积导电层的材料层前体物质中。
这种方法可被扩展以形成单壁碳纳米管半导体器件,所述单壁碳纳米管半导体器件具有在导电源极接触垫与导电漏极接触垫之间合成的单壁碳纳米管,和由气相沉积(如原子层沉积或化学气相沉积)在源极接触垫和漏极接触垫的至少一部分上及在单壁碳纳米管的末端之上形成的电绝缘层,留下单壁碳纳米管的同轴表面不由气相沉积涂覆。随后可进行官能化和稳定化步骤。随后可围绕稳定的同轴纳米管表面形成栅极介电层且可将栅极金属层沉积在所述栅极介电层上。
本发明的碳纳米管官能化方法避免了纳米管的共价化学改性,因此保持了它们显著的电学、机械和光学性质。一旦碳纳米管表面根据本发明而官能化,则可进行纳米管的蒸气或液体处理以在纳米管上均匀沉积一个或多个材料层,从而例如得到基于纳米管的电子元件、电路、器件和体系。本发明的其他特征和优势将由下列描述和附图以及权利要求而显而易见。
附图简述
图1A为悬置在沟槽之上以根据本发明官能化的碳纳米管的示意性侧视图;
图1B为置于表面之上以根据本发明官能化的碳纳米管的示意性平面图;
图1C和1D分别为悬置在支撑结构中的通孔之上,以根据本发明官能化的碳纳米管的示意性侧视图和平面图;
图1E为自底材垂直安置的以根据本发明官能化的碳纳米管的示意图;
图1F为自横梁边缘悬置的以根据本发明官能化的碳纳米管的示意图;
图2为在本发明的官能化方法中吸附在单壁碳纳米管表面上的Al(CH3)3与NO2的反应机制的示意图;
图3A为随着NO2自SWNT表面吸附和脱附所测量的作为时间的函数的SWNT导电率的图;
图3B为随着Al(CH3)3自SWNT表面吸附和脱附所测量的作为时间的函数的SWNT导电率的图;
图3C为随着NO2和Al(CH3)3给料的ALD官能化循环的进行所测量的作为时间的函数的SWNT导电率的图;
图3D为随着NO2和Al(CH3)3给料的一个ALD官能化循环的进行所测量的作为时间的函数的SWNT导电率的图;
图4A为所测量的作为NO2和Al(CH3)3给料的ALD官能化循环数的函数的官能化层厚度的图;
图4B为所测量的作为NO2和Al(CH3)3给料的ALD官能化循环中NO2给料的函数的官能化层厚度的图;
图5A为随着一脉冲NO2给料,自SWNT表面吸附和脱附所测量的作为时间的函数的SWNT导电率的图,其中在SWNT末端处具有电极的SWNT肖特基势垒没有被钝化;
图5B为随着一脉冲NO2给料自SWNT表面吸附和脱附所测量的作为时间的函数的SWNT导电率的图,其中在SWNT末端处具有电极的SWNT肖特基势垒已被钝化;
图5C为随着多个NO2脉冲给料的进行所测量的作为时间的函数的SWNT导电率的图,表明具有没有被钝化而经暴露的在SWNT末端处具有电极的肖特基势垒的SWNT的导电率和具有已被钝化且经涂覆的在SWNT末端具有电极的肖特基势垒的SWNT的导电率;
图6A-6I为制作根据本发明的双重悬置碳纳米管场效应晶体管的工艺步骤的示意图;且
图7A-7M为制作根据本发明的垂直碳纳米管场效应晶体管的工艺步骤的示意性侧视图。
发明详述
本发明的官能化方法可在包括单壁纳米管(SWNT)和多壁纳米管(MWNT)的任何所选壁构造的纳米管上进行,且可在包括金属或半导电纳米管的任何所选电学状态的纳米管上进行。对于任何状况,本发明的官能化方法都以避免化学改性纳米管的良性方式物理键结纳米管外表面的官能化层,因此保持了纳米管的电学、光学和机械性质。虽然没有化学键结纳米管表面,但本发明的官能化层在纳米管表面上提供对用于在官能化层之上均匀沉积材料层的沉积前体具有反应性的化学活性官能团。本发明的官能化层因此保持纳米管的性质,同时提供便于随后遍及纳米管表面沉积均匀厚度薄膜的纳米管表面状况。
一旦碳纳米管表面根据本发明而官能化,则可进行纳米管的蒸气或液体处理,从而以精确、可靠、保形的方式在纳米管上沉积一个或多个材料层。ALD技术、化学气相沉积(CVD)技术、等离子沉积技术、其他物理沉积技术和基于溶液的沉积技术都可用以在官能化的碳纳米管上生成材料层的所要构造。本发明提供的示例性官能化方法和示例性材料沉积方法在下文详细描述。
对于许多应用来说,可优选以同轴涂覆纳米管表面(即围绕纳米管长度的至少一部分)的方式在纳米管上沉积材料。为了实现这种状况,因此优选用本发明的官能化层,基本上沿纳米管的所选部分或总纵向侧壁长度,基本完全同轴涂覆纳米管。如果待涂覆的纳米管在提供沿纳米管的某一长度或全部长度暴露纳米管侧壁圆周的配置中合成,则可方便地实现这种状况。
图1A为这类构造的实例。在此,纳米管10已在两个催化剂区域12、14之间,横跨提供于底材结构18中的沟槽16合成。纳米管横跨沟槽悬置提供到纳米管侧壁的完全通路,因此能够形成围绕整个纳米管的官能化层。与此相反,在如图1B所示的配置中,平放在底材18上合成的纳米管10没有完全暴露于环境中,且无法在一个步骤中用官能化层完全涂覆。
根据本发明,以图1B的构造合成的纳米管可经手动操作从而以如图1A般的悬置构造或其他合适构造配置,使得能够完全涂覆。例如,可在于另一所选位置中生长之后通过流动使纳米管移动到孔或沟槽之上的位置。或者,可使纳米管保持平放在表面上且使用多个涂覆循环,在每一循环之间手动旋转纳米管。
参考图1C-1D,展示悬置纳米管构造的第二实例。在此实例中,纳米管10两个催化剂区域12、14之间,横跨在底材18中的通孔20合成。如图1D所示,通孔可为正方形或可为直边或弯曲的另一所选几何结构。图1A的实例的沟槽16同样可为纳米管可横跨其合成的任何合适几何结构。优选为通孔时,其可提供于底材中,如图1C-1D所示,或其可穿过自支撑膜或其他合适结构。
如图1E所示,纳米管10或者可自其上提供有催化剂区域或覆盖催化剂层的底材18的表面上垂直合成,如草茎般向上或向下生长。这种合成配置提供通向纳米管的完整圆周通道,以便形成完全围绕纳米管官能化层。在此配置中,纳米管仅在一端锚定在底材上且可在官能化和涂覆过程中维持这种根茎构造。这种状况还可以水平配置实现,如图1F所示。在此,纳米管10自水平构造的末端(例如提供于底材18上的横梁22)合成。纳米管催化剂区域可在横梁上或沿横梁长度的所选位置处提供。
在图1A和1C-1F的实例中,一个或多个碳纳米管在便于沿纳米管长度涂覆纳米管表面的完整圆周的所选配置中的适当位置处合成。此可扩展到在欲用纳米管的所选器件或体系构造中的适当位置处合成纳米管,其中纳米管的完整圆周将在操作期间暴露。这类器件的实例在下文详细描述。但如上文所说明,在情况并非如此时,纳米管可以任何所要方式合成,随后排列以暴露其完整同轴表面,以使完整表面官能化,或可重复官能化步骤,以在完整表面上生成官能化层。在不要求使纳米管的完整表面官能化时,纳米管可提供于如图1B配置中,其中可使欲官能化的所选表面区域进行官能化处理。
本发明不需要任何特定纳米管合成方法且不限于特定方法。纳米管可自工业来源得到或可以所要方式合成且具有所选特性如壁数量和导电性。根据本发明可使用的示例性纳米管合成技术和构造描述于2006年1月12日公开的题为“Suspended Carbon Nanotube Field EffectTransistor”的美国专利申请公开案第US2006/0006377号中,其全文通过引用结合到本文中。
例如,Fe、Co、Ni、其合金或其他金属等纳米管催化剂材料或合适材料可以常规方式在底材或其他结构上以图案化构造或覆盖配置提供,以由此合成纳米管。在需要在所选器件构造中原位合成纳米管时,催化剂区域可以如下所述的方式提供在例如金属接触垫上,使得所得纳米管器件构造包括在纳米管末端的电连接点。对于纳米管将横跨通孔合成的应用中,催化剂材料可以使得通孔可以一个步骤穿过催化剂和下层底材或膜形成,以使催化剂区域边缘与通孔边缘对准的配置提供于底材或膜上。对于需要SWNT的应用来说,可优选相对薄的催化剂层,例如厚度为2nm或小于2nm。
纳米管合成可通过CVD或其他所选的气相沉积方法进行。在一种示例性方法中,CH4在CVD腔室中,在例如约900℃的温度下,以例如约200sccm的速率流动,所选的持续时间例如最长达约5分钟。在另一示例性合成方法中,氩气在25℃室温下、在大气压力下以例如约450sccm流动穿过无水液体乙醇,随后在例如约750℃-900℃的温度下,在例如铬镍铁合金退火管中,以蒸气混合物形式流过催化剂涂覆的区域,历时例如约30秒到约30分钟的持续时间。还可使用其他合成方法。
在这些示例性纳米管合成技术之中,纳米管可横跨提供于底材或膜中的通孔或沟槽生长,可自催化剂表面垂直向上或向下生长,或可自横梁或其他结构的边缘生长。可预期多个纳米管自每个催化剂区域生长且保持至少部分地悬置在孔或沟槽之上或自锚定点如横梁或其他表面悬置。一旦一个或多个纳米管以适合在纳米管的所选区域或纳米管的完整壁表面上形成官能化层的方式合成或排列,则可进行本发明的官能化方法以使其他所选材料层能够沉积在纳米管上。
本发明的官能化方法在纳米管表面上生成官能化层,所述官能化层基本上为保形且均匀的,且提供能够在其上沉积材料层和/或可与涂覆前体(如ALD前体分子)反应的化学官能团。然而,所述官能化层不显著破坏纳米管的电子结构或其他性质,因此对纳米管为良性的。因此,在所述官能化方法中,传送到纳米管表面的物质可物理粘附在表面上以均匀涂覆所述表面,但其不与表面化学反应。官能化层物理吸附在纳米管表面上,而不是化学吸附在纳米管表面上。
这种状况对于许多情况来说可表征为非共价键结。共价键结通常表征可捕获电子且破坏电子性质的物质之间的局域相互作用的状况。本发明的官能化方法通过利用不与纳米管表面产生局域电子相互作用的官能化物质避免了这种状况。官能化物质与纳米管表面的非共价物理键足够强,以在有限时间内在合适位置固定所述物质,但这是可逆的,因此可自所述表面脱附。在这种状况下,物理键不具有扰乱纳米管表面的结构的性质。
可根据本发明使用的一种示例性官能化物质为NO2。NO2的特点在于由低激发电子态产生的高结合效率。这种高结合效率与强范德瓦尔引力趋势结合使得NO2能够与纳米管表面物理键结,而不与所述表面化学键结。在不与所述表面化学键结的情况下,NO2在电子上或化学上不强烈地扰乱所述表面。NO2可以气态蒸气物质形式传送到纳米管表面。对于许多应用来说,为了能够精确沉积以及为了方便起见,根据本发明优选官能化物质为蒸气物质,而不是液体物质。因此NO2尤其适合作为官能化物质。
上文刚描述的NO2的特征通常描述本发明官能化物质的技术要求。官能化物质应该吸附在纳米管表面上且非共价物理键结纳米管表面,或物理吸附在纳米管表面上,而不显著扰乱纳米管的化学态和/或电子态。物理吸附的官能化物质应该能够作为与一种或多种前体反应的成核点以便在纳米管上沉积材料层。官能化物质还应该优选可以气相传送到纳米管表面。
本发明不限于NO2官能化实例。任何提供如上所述的表面键结和前体反应特性的物质都可用作官能化物质。例如,亚硝酸甲酯气体、CH3ONO可替代NO2气体使用。
与纳米管表面产生共价键结的物质和方法不能用于本发明的官能化方法中。例如,应理解一些金属如Ti和Cr的层影响纳米管的电子态且共价键结纳米管表面。因此,这类金属不可用作本发明的官能化方法中的官能化物质。与此相反,NO2基本上不改变与其粘附的纳米管的电子态。如下文详细描述,由NO2涂层产生的任何纳米管导电性增强,例如已知为纳米管上的NO2涂层的特性的微小p型导电性增强,几乎完全是由于通过肖特基势垒改变而在金属/纳米管界面上的静电改变。在不与纳米管晶格形成共价键的意义上NO2在电子学上无相互作用,因此纳米管的混杂状态(即电子态)未因NO2而改变。
此外,如Cr和Ti的金属以及其他导电材料不能用作欲用作例如半导体元件(如晶体管栅极)的纳米管上的表面涂层。纳米管栅极表面上的金属层将通过提供电子穿过金属而非半导电纳米管的途径沿纳米管栅极控制电流输运,因此消除了必要的晶体管栅极转换能力。
特定沉积方法也可改变纳米管的性质,因此不能用作官能化方法。例如,已知通常在超过400℃的温度下操作的高温CVD方法通过改变纳米管的电子结构有害地影响纳米管的电输运性质。应理解这是由于并非非共价的键结配置而发生。换句话说,高温CVD方法引起与纳米管形成共价键,由此改变纳米管的电学性质。与此相反,本发明的低温气相沉积方法不与纳米管形成可改变纳米管的电学性质的共价键。
现在更详细地研究NO2官能化物质,如上所述,发现NO2物质与纳米管表面的物理键为可逆的。换句话说,吸附的NO2分子随着时间的推移倾向于自纳米管表面脱附。因此,尽管纳米管表面上涂覆的NO2分子层将充当在纳米管上沉积所选材料层的成核点,但NO2分子通常不会保持在纳米管表面上一段足以使所要材料层能够沉积的时间。挑战在于形成保持一段足以进行所选材料的沉积过程的时间的NO2连续层。
根据本发明,这可通过在保持NO2分子处于纳米管表面上一段足以引入与NO2分子反应且形成不易于自纳米管表面脱附的物质的第二官能化物质的时间的温度下沉积NO2层(优选自气相沉积)来实现。NO2与第二官能化物质反应形成不如此快速地自纳米管表面脱附且维持沉积材料和/或与所选沉积前体反应的成核点的更稳定复合物。
具有足够挥发性及反应性以与NO2键结且使纳米管表面稳定化的任何合适物质都可用于官能化技术中。一种示例性且优选的反应性第二官能化物质为Al(CH3)3(三甲基铝或TMA),但也可使用其他反应物,如二甲基锌、三甲基镓、三甲基铟、三甲基铋、四(二甲基胺基)铪或四(二甲基胺基)锆。无论选择哪种反应性第二官能化物质,其优选与NO2键结且使纳米管表面上的NO2稳定化。本发明不限于特定反应性第二官能化物质,而是仅要求所选物质与例如NO2或其他所选的第一官能化物质的反应结果不强烈扰乱纳米管的电子或化学态且提供在纳米管表面上沉积材料层的成核点。
在本发明提供的一种示例性且适当的方法中,可使用循环ALD技术以向纳米管表面引入NO2蒸气,随后将吸附的NO2分子暴露于Al(CH3)3蒸气中,使得Al(CH3)3与NO2分子反应。Al(CH3)3与NO2的反应生成非共价键结下层碳纳米管且为在相当低的温度下(如室温25℃下)不趋于立即自纳米管侧壁脱附的稳定复合物的官能化层。所得官能化表面提供能够由所选其他材料均匀、保形地涂覆纳米管的官能团。
吸附的NO2与TMA的可能的反应机制在图2中示意性说明。在此,NO2的氮端被吸引到纳米管表面,留下氧端暴露于引入的TMA蒸气中。这对于纳米管表面上的吸附的NO2分子来说是最稳定的构造。TMA的铝中心又被吸引到氧,留下甲基作为可用于与沉积前体反应且服从沉积条件的表面官能团。如下文所说明,这种构造在一定范围或加工条件下产生自终止行为,防止NO2或TMA进一步粘附。
在根据本发明的一种示例性NO2-TMA官能化方法中,循环ALD技术在通常使分子脱附趋势最小化的温度如约室温25℃下使用。在整个官能化方法期间,所选流例如约50sccm的所选惰性气体(例如氩气)被引导穿过ALD反应器。这种ALD反应器的实例为内径为3.4cm的圆筒形反应器。惰性气体流例如通过真空泵以使腔室压力维持在例如约300mTorr下的泵送速度来控制。一种示例性NO2-TMA官能化循环由提供例如约960Torr压力下例如约30mL给料量的NO2气体的第一循环步骤组成。在提供此NO2给料之后,进行NO2吹扫,例如以氩气吹扫7秒。随后用例如约10Torr压力下例如约6mL给料量的TMA蒸气进行第二循环步骤。此TMA给料紧接着用氩气吹扫2分钟。这最终吹扫结束NO2-TMA循环。如下文所说明,将这种循环的重复数目根据由所选官能化层提供的覆盖层完整性决定。如将认识到,给料量大小、压力及其他工艺参数取决于反应器大小,因此将相应地针对给定反应器及其他工艺条件来调整。
在这种示例性ALD官能化方法中,由给料量为30mL的NO2气体产生的吸附的NO2物质的停留时间或使用期限足以使吸附的NO2物质保留在纳米管表面上,直到引入TMA蒸气以与吸附的NO2物质反应。示例性的室温工艺温度为可易于控制,以利用这个条件的一种工艺参数。对于许多应用来说,可优选约室温25℃的工艺温度,但本发明不限于室温加工。工艺温度优选低于约200℃且可比室温低,条件是这个温度适于给定应用。
对于许多应用来说,一个单层或至多几个单层的官能化层均要求提供使所选材料成核,随后围绕纳米管沉积在官能化层上所必需的官能团。相对厚的官能化层可为有孔的且可为并非完全均匀或保形的。另外,对于许多应用来说,可优选限制施用到纳米管上的材料的量。因此可优选通过主动控制或通过选择以自限行为为特征的方法限制官能化层的厚度。
如上所述的示例性NO2-TMA官能化方法的特点在于这种自限行为,限制所得官能化层厚为一个单层,其厚度小于约1nm。这种反应的自限性质可通过限制官能化ALD循环的数目小于100个循环来保持,从而仅形成材料的受控自限单层。如果给料量太大或暴露程度太大,NO2-TMA方法则可生成如下详细描述的不具有自限性的较厚无定形多层。这种多层不是理想的ALD方法且不能确保提供本发明需要的保形性和均匀性。对于许多应用来说,可优选小于100个循环,或更优选小于50个循环。可使用的循环数目应足以完全或至少几乎完全覆盖纳米管表面。对于大多数应用来说,优选大于10个循环且更优选大于25个循环足以几乎完全覆盖。
通常,纳米管表面一旦暴露于如上所述的示例性NO2-TMA ALD方法或其他所选方法中,官能化层则存在于纳米管表面上且可围绕纳米管进行所选材料的沉积。尽管吸附的NO2-TMA复合物比吸附的NO2本身更稳定,因为NO2-TMA复合物非共价附着于纳米管,因此复合物在足够时间和足够高温下将趋于自纳米管表面脱附。
对于在这种官能化层开始脱附之前所选材料不能沉积的状况来说,根据本发明可施用中间稳定层,以包覆官能化层且确保官能化层即使在高温下历时延长的时间也稳定。稳定的官能化层提供官能化的成核点,使得随后可在纳米管上进行所选材料层的沉积。在官能化和稳定化步骤之后,可将结构加热到在不进行稳定化方法的情况下初始官能化层可脱附的较高温度。
稳定化方法优选在不引起下层官能化层脱附增强的温度下进行。对于许多应用来说,约室温25℃的温度可为优选的稳定化工艺温度;可优选低于约200℃的温度,其中更优选低于约100℃的温度。稳定层优选仅覆盖下层官能化层,因此厚度需要不超过一个或仅几个单层。稳定层在适当位置固定官能化层且优选与官能化层形成共价键,尽管这种共价键对于本发明并非必要的。
在一种示例性技术中,将所选前体引入官能化层涂覆的纳米管以便与所述层反应。待满足的标准包括以下要求:用于稳定层的沉积前体与官能化层的物质例如NO2-TMA官能化层物质反应;及在维持官能化层所需的温度下(例如约25℃下)具有足够挥发性,以便成功传送其蒸气到官能化层表面。满足这些标准的前体包括各种金属如铪和锆的二甲基酰胺和其他金属如铝、锌、镓和铋的甲基衍生物。可进行这类前体(包括三甲基铝、二甲基锌、三甲基镓、三甲基铟、三甲基铋、四(二甲基胺基)铪或四(二甲基胺基)锆)与水蒸气的反应,以形成稳定层。应理解的是NO2-TMA官能化层可暴露于约50℃的温度和仍以相当小的脱附率为特征的大多数条件;在此较高温度下,可使用更多其他类别的稳定层前体。
在一种示例性且方便的方法中,Al2O3层可通过ALD沉积以在官能化层之上形成单层厚的稳定层。在官能化层通过ALD方法形成时,ALD稳定方法可格外方便。在这种方法的一个实例中,可使所选流例如约50sccm的所选惰性气体(例如氩气)被引导穿过ALD反应器。这种ALD反应器的实例为内径为3.4cm的圆筒形反应器。惰性气体流例如通过真空泵以使腔室压力维持在例如约300mTorr下的泵送速度来控制。温度维持在约室温25℃。在一个稳定循环中第一步骤由例如约10Torr压力下例如约6mL给料量的TMA组成,接着用氩气吹扫2分钟。随后在第二工艺步骤中,脉冲例如约24Torr压力下的水蒸气0.2秒。随后将腔室用氩气吹扫例如约2分钟。这完成稳定层形成中的一个ALD循环。
发现对于NO2-TMA官能化层来说,在上文刚描述的工艺条件下的5个Al2O3ALD循环提供适当的稳定层,因此可优选包括至少5个循环的方法。发现对于高温处理,通过5个ALD循环生成的Al2O3稳定层的厚度足以保持NO2-TMA复合物固定在纳米管上适当位置处,且仍足够薄以使稳定层可对电容产生的任何副作用减至最少。
因此,根据本发明,在特别优选方法的一个实例中,25-50个通过NO2-TMA官能化的ALD循环、接着至少5个通过Al2O3稳定化的ALD循环(所有循环都在约25℃下)足以使纳米管表面官能化且稳定化,以便通过所选方法例如通过常规ALD来沉积。用本发明的这种方法处理的大多数纳米管(尤其是SWNT)展现均匀且平滑、沿整个纳米管长度保形且连续的理想涂层。
发现在没有稳定层的情况下,官能化层可为径向各向同性的,但未必在所有情形下均保持沿纳米管长度连续,例如由于脱附生成未涂覆的区域。发现如果在试图使下层功能层中的NO2-TMA复合物交联的过程中仅使用水蒸气作为稳定前体,则会出现类似不合需要的状况。还发现如果在常规ALD温度(通常为200℃-400℃)下进行官能化程序,则会得到不均匀的官能化层。在此,由NO2分子得到的热动能引起到纳米管表面上的吸附减少,从而抑制官能化。因此根据本发明优选使用低温ALD技术用于官能化和稳定化方法。
本发明的官能化和稳定步骤一旦完成,碳纳米管则可通过各种物理、化学、蒸气和基于溶液的沉积方法中的任一种用所选材料涂覆。在沉积方法本身为气相方法,例如CVD方法或ALD方法时,可生成SWNT或MWNT,其表面根据本发明官能化且稳定化,其表面经ALD材料涂覆,所有这些均在同一反应器中进行。因此纳米管在整个工艺顺序中可保持在受控真空环境中。这消除了所有污染源且确保所选前体真正直接用于每个步骤。能够在一个反应器中执行每一工艺步骤还说明用此可容易地将本发明的官能化技术整合到一个制造工艺顺序中。
应理解的是用于生成所选稳定材料层的条件对于生成意欲用于另一目的的同一材料的层未必理想。但对于许多应用来说,稳定层可由随后还在形成所选器件过程中沉积的材料形成。因此这可优选用于首先形成使官能化层稳定化所需最小厚度的稳定层,随后调整沉积条件以形成预定沉积材料的情形。例如,如下文详细描述,在将Al2O3用作稳定材料且用作预定器件构造中的器件层时,可进行低温Al2O3沉积步骤,接着在使Al2O3层对于预定应用优化的温度和压力设定下进行Al2O3沉积。
本发明预期可用具有根据本发明官能化的表面的碳纳米管生成的各种器件和结构。在此可使用各种材料。例如,官能化的碳纳米管可用所选介电层、随后用所选导电层同轴涂覆以形成可在形成基于碳纳米管的晶体管的过程中,在源极区和漏极区之间配置的栅极结构。
一种由本发明方法解决的格外重要的应用是通过ALD在SWNT上形成介电常数大于例如约7的高κ介电涂层。通过ALD形成高κ介电层可生成不会不利地影响纳米管的电学性质的良性电介质/SWNT界面。因此对于极薄的高κ材料并不破坏性地钝化SWNT来说,ALD为理想的沉积技术。如下所述,同轴涂覆尤其合乎需要,因为根据本发明其实现使得同轴栅极SWNT器件和基于纳米管的线和其他电路元件切实可行。这种构造使具有栅极层的纳米管的电容耦合减至最小,这对于优化器件特性是关键步骤。此外,悬置的SWNT几何结构可为满足纳米级SWNT器件的可量性技术要求所必需。这种结构需要同轴绝缘。
实施例I
NO2吸附和自碳纳米管脱附
在本发明的官能化程序期间用实验方法分析SWNT的导电率。为了得到便于分析的结构,可使用光学平版印刷和电子束蒸发技术以使石英底材上50nm厚Mo和50nm厚Ti层的金属电极图案化。使用聚焦离子束(FIB)以在金属电极边缘之间形成宽1μm且深0.5μm的沟槽。厚度为约0.5nm的Al2O3层通过ALD沉积在电极表面上以防止钼与随后沉积的纳米管催化剂金属之间合金化。沉积厚度为约0.3nm的Co催化剂区域且通过剥离(liftoff)而在电极表面上图案化以形成分离的催化剂区域。
SWNT合成在大气压力下,通过在室温25℃下以约450sccm的流速将氩气鼓泡穿过乙醇,且在内径为3.4cm且体积为860mL的
Figure G2007800123438D0017152845QIETU
管中,在约750℃与约900℃的温度下,使混合物流过石英底材结构进行。生长时间在30秒与5分钟之间变化。生长时间越久,生成的管越长。
对于导电率研究,使用30秒的生长时间以避免电极因纳米管而表面桥接。表面桥接对于正在研究的悬置纳米管的导电率性质不合乎需要。相对短时间的生长确保桥接电极的管悬置在电极之间的沟槽之上。在合成期间,SWNT变得电学上易于在电极之间的沟槽之上生长。以这种方式生长的半导电SWNT展现p型行为。
为了分析NO2在纳米管表面上的吸附,在稳态条件下,在约300mTorr的真空条件和约25℃的温度下,将约960Torr压力下约30mL给料量的NO2脉冲到横跨电极之间的沟槽悬置的SWNT之上。在每次脉冲之间,将NO2用约50sccm的连续氩气流吹扫2分钟。
图3A为随着NO2脉冲给料进行所测量的作为时间的函数的一种悬置SWNT的导电率的图。发现所测量的SWNT的导电率在暴露于NO2时会增加,接着在吹扫期间恢复到初始基准导电率。这是可预期发生的,因为在半导电SWNT中,NO2的吸附通过电子自纳米管部分电荷转移到吸附的NO2分子上而略微增强纳米管的p型特性。因此,在NO2给料期间,导电率增加。随后,当吹扫NO2时,吸附的NO2开始脱附,降低纳米管的p型特性且相应地降低纳米管的导电率。这种导电率分析证实NO2物质仅物理吸附到纳米管表面上,而不与所述表面化学键结。
实施例II
吸附的NO2的TMA稳定化
以实施例I的构造制作悬置SWNT且将其暴露于脉冲给料的TMA中。在此,在与实施例I的NO2脉冲所用条件相同的条件下将约10Torr压力下约6mL给料量的TMA脉冲到悬置SWNT之上。在脉冲给料期间,测量SWNT的导电率。
图3B为在脉冲TMA给料期间所测量的作为时间的函数的SWNT的导电率的图。TMA暴露对SWNT的导电性质有影响,这与由于NO2暴露的影响相反,因此引起SWNT导电率降低。图中可见在TMA脉冲暴露之后测量的SWNT导电率由于在每次脉冲之后脱附而略微恢复,但它没有回到在暴露于NO2时的恒定基准值。这在导电率/时间曲线中产生总体负斜率,这可归于由TMA与表面羟基的反应所引起的Mo电极处的接触电阻增加所致。
将实施例I的NO2给料实验与TMA脉冲给料相结合,使得每次NO2脉冲之后为TMA脉冲。在给料脉冲顺序期间测量SWNT的导电率。图3C为在脉冲给料期间测量的导电率的图,且图3D为所述顺序的一个脉冲循环的导电率的图。在此,发现由NO2所引起的每一导电率增加都为由TMA所引起的导电率降低而抵销。回想一下,当NO2单独给料时,导电率回到基准值且平均斜率为零。当NO2给料之后进行TMA暴露时情况就不是这样。在此,电流随着每一NO2-TMA循环而逐步升高,且存在净正斜率。
如果NO2可自SWNT表面自由脱附,则可预期图3C的斜率将如图3A的图而为零或甚至因为存在TMA而为负值。因此,由NO2-TMA脉冲处理得到的正净斜率表明TMA与NO2反应以有效抑制NO2脱附,在SWNT表面上产生更稳定的复合物。
实施例III
通过ALD循环数目控制官能化层厚度
如实施例I合成SWNT。使SWNT经受各种NO2-TMA官能化处理,其中调整NO2-TMA官能化循环的数目以说明所得官能化层的性质。对于每一处理,使用约300mTorr的真空压力、流速为约50sccm的连续氩气流、约25℃的温度。一个官能化循环由以下组成:给予在约960Torr下30mL的NO2蒸气,接着用氩气吹扫2分钟,随后给予在约10Torr下6mL的TMA蒸气,接着吹扫2分钟。图4A为作为NO2-TMA循环数目的函数的官能化层厚度的图。
如图中所示,对于最多达约100个NO2-TMA循环,官能化层的厚度保持恒定为约一个单层。在最初100个NO2-TMA循环内,这种保持的官能化层厚度证实NO2-TMA技术在SWNT表面上形成自终止单层。当进行大于100个NO2-TMA循环时,官能化层厚度增加。甲基表面中的缺陷最终导致加入更多材料超出单层,它开始约200个循环且普遍为400个循环。发现这种自限行为的破坏引起在SWNT表面上形成有孔的不均匀多层。因为精密控制涂层厚度且控制涂层均匀性为许多应用的所要目标,因此可优选使用少于100个官能化循环。
表面甲基对于与NO2的化学反应具有惰性,可为官能化层自限为单层的原因。只有当加入水和TMA时,稳定层才围绕官能化层生长。水分子可能自甲基的铝中心裂解甲基,使羟基(-OH)留在它们的位置处且产生气体副产物甲烷(CH4)。这种重新羟基化的表面又经由类似分裂机制对ALD反应敏感。
实施例IV
通过NO2暴露控制官能化层厚度
如实施例I合成SWNT。随后使SWNT经受实施例III的官能化处理,其中调整每个NO2-TMA官能化循环中NO2给料步骤的持续时间以说明官能化层的性质。图4B为作为NO2给料的函数的官能化层厚度的图。
在此表现出官能化层的厚度与NO2暴露成正比,即厚度取决于NO2气体分子在被吹扫前可与官能化层产生碰撞的数目。越多的碰撞增加了NO2分子供给且吸附到层中缺陷上的机会。以这种方式吸附的NO2可因此充当引入TMA的吸附点。随着这在许多循环中发生,可生成具有可观厚度的多层。基于这种事实,可优选通过使用低暴露、流动型给料和相对低的循环数(例如如上文所说明小于100个)优化本发明的官能化程序。
实施例V
在官能化SWNT上沉积Al2O3
制作200nm厚的氮化硅膜且利用FIB研磨形成贯穿膜的通孔。通过ALD法,在氮化物膜表面上得到Co层而形成Co纳米管催化剂区域且剥离图案化所述层,随后以5分钟的生长时间进行实施例I的SWNT生长。这足以长成相对高产率的管,其足够长以完全横越膜中图案化的FIB孔。在生长期间,SWNT横跨孔生长,使得它们的悬置部分对于TEM分析为理想的。
所述悬置SWNT根据本发明通过暴露于50个在约25℃的温度下、在约300mTorr的真空压力下、流速为约50sccm的连续氩气流下NO2-TMA ALD官能化循环而官能化。一个循环由以下组成:给予在约960Torr下30mL的NO2蒸气,接着用氩气吹扫2分钟,随后给予在约10Torr下6mL的TMA蒸气,接着吹扫2分钟。
完成50个官能化循环之后,进行5个稳定化处理循环。在此,提供的稳定层为在25℃下通过ALD沉积的高κAl2O3。一个ALDAl2O3稳定化循环由以下组成:给予在10Torr下6mL的TMA,接着用氩气吹扫2分钟,随后在约300mTorr的真空下,用在约24Torr下的水蒸气脉冲0.2秒,接着用氩气吹扫5分钟。形成厚度为约0.5nm的层。
随后使温度升高到225℃以便在官能化层和稳定层上另外沉积Al2O3。这Al2O3沉积通过交替给予流量为约6mL且压力为约10Torr的TMA蒸气和压力为约24Torr的水蒸气(其中阀门开放约0.2秒)进行。在给料期间,进行吹扫30-60秒。沉积期间的基础压力为300mTorr,且在此过程中有约50sccm的恒定氩气流经过反应器。
发现Al2O3的每个ALD循环均使SWNT上的涂层半径增加约0.1nm。20个ALD循环得到径向厚度为2nm的涂层。100个ALD循环得到径向厚度为10nm的涂层。发现围绕SWNT的所得ALD涂层为均匀且连续的。这在实验上证实在稳定的官能化层上沉积所选绝缘材料在ALD生长的成核作用中几乎没有抑制或延迟作用。
实施例VI
防止肖特基势垒调整
如实施例I合成SWNT。随后将SWNT暴露于脉冲NO2给料中。图5A为随着一次NO2给料脉冲施加到裸SWNT上所测量的作为时间的函数的SWNT的导电率的图。如实施例I所说明,暴露引起导电率增加约10-5A/V,接着由于脱附而降低。
12小时吹扫之后,用10nm ALDAl2O3涂覆SWNT。一个ALDAl2O3沉积循环由以下组成:给予在10Torr下6mL的TMA,接着用氩气吹扫2分钟,随后在约300mTorr的真空下用在约24Torr下的水蒸气脉冲0.2秒,接着用氩气吹扫5分钟。这个过程在室温下进行。倘若裸SWNT表面对ALD沉积具有惰性,则这种ALD沉积不涂覆SWNT,但会涂覆Mo/SWNT接点,钝化在SWNT的每个末端与表面上的电接触之间的点处的肖特基势垒。在没有官能化层的情况下,SWNT对于ALD涂层沉积有效自掩蔽。
这个实验将由纳米管的电荷转移掺杂引起的SWNT导电性改变与由在SWNT与Mo电极之间的接触处的肖特基势垒调整引起的导电性改变分离。图5B为在钝化Mo/SWNT接点之后,随着NO2给料脉冲施加到SWNT上所测量的作为时间的函数的导电率的图。此刻导电率仅增加约10-7A/V,比SWNT具有暴露的肖特基势垒时的导电率增加小两个数量级。由此可推断大多数由NO2引起的导电率改变经由肖特基势垒调整而发生。因此,这进一步证实NO2层不显著扰乱纳米管的电学性质。
这种状况的进一步说明是在NO2-TMA官能化处理期间用实验方法进行观测。在实施例III的工艺条件下,将一个具有暴露的肖特基势垒的悬置SWNT和一个具有通过上文所述的Al2O3沉积钝化的肖特基势垒的悬置SWNT暴露于50个NO2-TMA ALD官能化循环中。图5C为对于两种SWNT状况中的每一个在50个官能化处理循环期间作为时间的函数的导电率的图。
自图数据可见具有未涂覆的Mo/SWNT接触的SWNT的导电率显著改变,而具有涂覆的肖特基势垒的SWNT的导电率相对恒定。这种结果表明肖特基势垒调整为引起官能化期间所观测到的导电率增加的主要机制。考虑到NO2暴露改变金属功函数,这也就合理了。此外,这表明如果纳米管的肖特基势垒得到充分保护,则本发明的官能化技术可在不剧烈改变纳米管的导电率的情况下使用。
实施例VII
制作核壳纳米管结构
如实施例VI制作在官能化层和稳定层之上具有10nm厚Al2O3涂层的悬置SWNT。随后用所选金属层涂覆Al2O3涂覆的SWNT。根据本发明可使用的一种格外方便的金属沉积技术为2006年6月29日公开的Gordon等的题为“Atomic Layer Deposition Using MetalAmidinates”的美国专利申请公开案第US2006/0141155号中所述的ALD涂覆方法,所述公开案的全文据此通过引用结合到本文中。
包括铜、钴、镍、铁、钌、锰、铬、钒、铌、钽、钛、镧、铑的金属或其他合适金属层可通过ALD技术形成。例如,如Aaltonen等,“ALD of Rhodium Thin Films from Rh(acac)3and Oxygen,”Electrochemical and Solid-State Letts.第8卷,第8期,第C99-C101页,2005所述,根据该发明可进行通过ALD的铑沉积,它的全文据此通过引用结合到本文中。根据该发明还可使用通过蒸发、化学气相沉积或其他沉积技术的金属涂覆以沉积金、银、钯或另一所选金属。还可使用其他合适的导电材料,如氮化钨。根据本发明用于通过ALD沉积导电材料如氮化钨的一种示例性技术由Becker等在“HighlyConformal Thin Films of Tungsten Nitride Prepared by Atomic LayerDeposition from a Novel Precursor,”Chem.Mater.,第15期,第2969-2976页,2003中描述,它的全文据此通过引用结合到本文中。
无论使用哪种沉积技术,金属沉积都围绕SWNT产生绝缘体-金属核壳结构。本发明的官能化方法使此核壳结构与SWNT一起形成,克服了SWNT对保形层沉积的固有惰性。本发明的官能化方法还使这种核壳结构能够随ALD处理而生成,此可控制壳结构的厚度在纳米级下且可可靠并可再生成地提供保形且均匀的壳层。
实施例VIII
亲水性纳米管涂层
将如上文实施例中根据本发明官能化的碳纳米管用所选的一种或多种材料涂覆,以使碳纳米管具有亲水性,因此易于在极性溶剂如水或乙醇中悬浮。随后例如在医学应用中可使用这类亲水性纳米管的悬浮液。应认识到亲水性碳纳米管的毒性比未涂覆纳米管的毒性低,因此它对于医学应用为安全的。纳米管亲水性状况使得除医学应用以外,其还适于各种应用,其中可能需要在极性溶剂如水中处理纳米管。
亲水性层如金属氧化物或二氧化硅层通过所选技术例如ALD沉积在官能化的碳纳米管上使纳米管具有亲水性。根据本发明用于沉积二氧化硅或各种金属氧化物中的任一种的一种示例性ALD技术描述在2005年11月29日授权于Gordon等的题为“Vapor Deposition ofMetal Oxides,Silicates and Phosphates,and Silicon Dioxide,”的美国第6,969,539号中,所述专利的全文据此通过引用结合到本文中。可使用化学气相沉积(CVD)(包括低压化学气相沉积(LPCVD)、等离子增强的CVD(PECVD))、物理气相沉积(PVD)或其他所选技术以形成氧化层。另外,在官能化纳米管上提供的金属层本身可被氧化以形成氧化层。
实施例IX
制作同轴纳米管状结构
如实施例III合成碳纳米管且将它用官能化层和稳定层涂覆。随后将涂覆的碳纳米管用一个以上优选具有抗氧化性的材料层涂覆,例如实施例VI用Al2O3材料涂覆。随后将涂覆的碳纳米管结构与自其合成涂覆的碳纳米管结构的表面分离,以生成游离的碳纳米管且暴露纳米管末端。在这种技术的一个实例中,将纳米管结构和支撑配置悬浮于所选液体介质例如乙醇中且超声波处理使纳米管末端与支撑结构断开。这使涂覆的纳米管自支撑结构释放且使纳米管悬浮于介质中。随后将液体介质蒸发且干燥纳米管。
随后通过任何合适方法(例如通过氧化)将碳纳米管本身自每个涂覆的纳米管结构移除。当在氧化气氛(如空气或氧气)中加热到足够高的温度如高于约600℃时,碳纳米管被氧化且可通过在例如700℃-800℃下氧化2小时被完全消除。碳纳米管自涂覆结构消除生成由沉积在纳米管上的同轴材料层围绕的空心。因此,形成内径相应于原始碳纳米管直径的纳米管状结构。
因此,原始的碳纳米管作为可移动支架,且其除去可生成所选沉积材料的精密控制的中空纳米管。通过本发明的官能化方法实现的材料沉积的均匀保形性质可用于这种中空纳米管生产方法。通过使用ALD技术来形成同轴材料层,由沉积材料生成的所得管的直径可设定为任何所要值,因为每个ALD循环中的生长可高度再现。通过在所选数目的ALD循环之后改变反应物,还可构造同轴核壳结构。在形成这类结构的过程中可使用许多层配置,提供例如导电、绝缘和半导电层配置以便用于电子和传感应用中。
在一个实例中,由此生成的中空纳米管状结构可用作中空气体传感结构。应认识到半导电金属氧化物如氧化锡和氧化钴的电阻对各种气体敏感。因此,金属氧化物的纳米管状结构使得能够生成高灵敏度的气体传感器。
对于许多应用来说,中空纳米管状结构必须放置在电极或用于制作电路或其他体系中的电连接的其他器件元件之间。这种配置可通过例如在溶剂中悬浮纳米管状结构且旋涂溶液到其上提供电极的表面上来实现。或者,一旦纳米管状结构位于所选表面上,则可使用平版印刷在所选位置形成电极。
若考虑这种配置用于中空气体传感结构,则纳米管状结构可为例如氧化锡或氧化钴。纳米管的导电率可通过流动穿过纳米管的电流监测。当纳米管状气体传感器暴露于气体时,纳米管的能级得以改变,引起纳米管导电率改变。对于气体传感器不需要晶体管构造,因为不需要栅极。
中空纳米管状结构还可用作具有适当核和包覆材料选择的纳米波导。这类材料的一个实例由Govyadinov等在“Gain-Assisted Slow toSuperluminal Group Velocity Manipulation in Nanowaveguides,”Phys.Rev.Lett.,第97卷,第223902++页中描述。
实施例X
基于纳米管的电介质蚀刻掩模
纳米管状结构的形成使各种其他应用和方法变得可能。在一种这类示例性方法中,蚀刻掩模由纳米管状结构形成。基本不渗透特定蚀刻物质或至少对特定蚀刻物质具有高度抵抗性的材料在官能化的碳纳米管上成层以得到蚀刻掩模材料。在此方法中,如上文实施例III,首先使碳纳米管表面官能化且稳定化。随后,将所选蚀刻掩蔽材料沉积在官能化的纳米管上以形成围绕纳米管表面的均匀同轴层。
如果对于特定应用需要,则随后根据上文实施例IX的技术将碳纳米管自所述结构移除,以得到掩蔽材料的纳米管状结构。或者,使碳纳米管保留在纳米管状结构中。随后通过放置掩蔽材料纳米管于液体介质如水中得到掩蔽材料纳米管的液体悬浮液。掩蔽材料纳米管的液体悬浮液形成液体纳米管抗蚀剂,可以常规方法将它旋涂到表面上。一旦旋涂到表面上,则可使用纳米管抗蚀层作为掩蔽层进行各种蚀刻处理技术。在蚀刻完成之后,可通过合适技术例如湿式蚀刻、干式蚀刻或化学机械抛光移除纳米管抗蚀层。
在所述纳米管掩蔽方法的一个实例中,具体选择纳米管涂覆材料以使得能够在底材上掩蔽蚀刻介电材料。假如按常规基于氟的等离子体配方用于电介质蚀刻,则可使用材料如Sc2O3、Y2O3、LaAlO3、MgO、GdScO3或其他所选材料作为欲涂覆在纳米管上的纳米管状掩蔽材料。根据本发明对于Sc2O3涂层一种合适的沉积方法描述在Gordon等的“ALD of Scandium Oxide from Scandiumtris(N,N-diisopropylacetamidinate)[Sc(amd)3]and Water,”Electrochemical and Solid-State Letters,第9卷,第6期,第F45-F48页,2006中,它的全文据此通过引用结合到本文中。上文引用的美国专利申请公开案第2006/0141155号也描述了合适的沉积技术。根据本发明对于GdScO3涂层一种合适的沉积方法由Kim等描述于“Atomic layer deposition of gadolinium scandate films with highdielectric constant and low leakage current,”Appl.Phys.Lett.,第89卷,第133512-1-133512-3页,2006中,它的全文据此通过引用结合到本文中。根据本发明对于La2O3涂层一种合适的沉积方法由Lim等描述于“Atomic layer deposition of lanthanum aluminum oxidenano-laminates for electrical applications,”Appl.Phys.Lett.,第84卷,第20期,第3957-3957页,2004年5月中,它的全文据此通过引用结合到本文中。一种所选材料的厚度为例如约5nm-10nm的层在官能化碳纳米管上的沉积通过ALD或其他合适技术进行。
碳纳米管随后可通过实施例IX的氧化法消除,产生所选氧化物材料的纳米管状结构,或如果需要,则可保留在所述结构中。随后,可用所选液体介质形成纳米管状结构的悬浮液。将这种液体悬浮液旋涂在介电层上以便通过基于氟的等离子体蚀刻。氧化钪蚀刻比二氧化硅慢100-1000倍,因此它对于二氧化硅蚀刻方法为优良的蚀刻掩模。
在本发明提供的一种示例性蚀刻方法中,将氧化物纳米管蚀刻掩模旋涂在底材上以在底材上的介电层之上提供覆盖掩蔽层,因为它需要低κ特性。对于例如金属间介电层可为这种情况,其中需要介电常数小于约4。旋涂的掩蔽层包括横跨介电层表面分布的随机取向的氧化物纳米管。
在掩蔽层处于适当位置的情况下,进行基于氟的等离子体蚀刻方法:微波功率:200W;直流偏压:50V;O2流速:2.0sccm;CF4流速:40.0sccm;且压力:20.0mTorr。掩蔽氧化物纳米管层的随机模式以相应方式遮挡下层介电层,使得等离子体蚀刻形成穿过所述层的受保护材料区域的垂直壁,它横跨所述层以随机方向定向。因此,掩蔽层中氧化物纳米管分布的高纵横比图案通过等离子体蚀刻在下层蚀刻介电层中重复。这种蚀刻方法产生具有相称低κ特性的有孔介电层。介电层的介电常数可预定,例如小于约4,且通过相应地选择包括在旋涂掩蔽层中的纳米管状结构的密度来调整。将认识到可需要一些经验分析以使掩蔽层的氧化物纳米管密度与待蚀刻的介电层的指定介电常数相关。
通过等离子体蚀刻方法形成的介电层中的壁比由纳米颗粒掩模制造的相当尺寸的圆柱状柱坚固得多。因此,这种方法能够在具有高机械强度的实心介电层上而非在具有低强度的常规有孔电介质上进行化学机械抛光(CMP)。只是在顶层的CMP完成之后蚀刻电介质。另外,纳米管的随机定向生成剩余介电层的随机定向壁,使得在任何方向上实现高剪切强度。
在下面具有沟槽和通孔的典型互连结构中,蚀刻深度可方便地延伸至少穿过相应于沟槽的厚度,因为沟槽之间的电容引起信号传播比在通孔之间剩余的电介质中更显著延迟。有孔蚀刻方法一旦完成,则可通过任何方便的清洁方法如用气体鼓吹或喷雾干冰丸或通过CMP移除蚀刻掩模。避免抛光步骤以保留在介电层上的任何纳米管均为无害的,因为它们本身为绝缘体,因此不会引起金属层之间短路。
实施例XI
制作同轴栅极弹道SWNT场效应晶体管
如实施例I的合成方法,以适于同轴涂覆的构造合成SWNT。随后将合成的悬置SWNT的表面官能化、稳定化且用绝缘体如Al2O3同轴涂覆,随后如实施例VII用所选金属如WN同轴涂覆。随后将同轴悬置的核壳SWNT结构用合适光致抗蚀剂涂覆且仅将在SWNT结构末端的光致抗蚀剂暴露于紫外光、电子或其他合适抗蚀暴露物质中。随后使抗蚀剂显影,仅暴露SWNT核壳结构的末端。
随后例如通过常规金属和氧化物湿式或干式蚀刻将纳米管两末端处的SWNT表面的金属和绝缘体材料蚀刻,以暴露在纳米管末端的纳米管表面。随后形成SWNT表面的电接触垫和电连接。所述接触垫和电连接通过蒸发Pd、Rh或不与纳米管形成肖特基势垒的其他所选金属到所述结构上而生成,制成暴露的SWNT末端之间的电接触和接触垫。使用光致抗蚀剂剥离技术以使金属接触材料图案化。剩余抗蚀剂用常规剥离程序移除,生成用绝缘体同轴钝化、用金属同轴栅极化且电学上易于穿过纳米管末端处的金属接触的SWNT。这种结构提供通过本发明的ALD方法非常精密地限定且制作的SWNT场效应晶体管几何结构。在用钯或铑或其他类似金属作为导电接触材料的情况下,所述结构表征为弹道场效应晶体管。
实施例XII
同轴栅极肖特基势垒调整的SWNT场效应晶体管
如实施例XI,用悬置SWNT进行场效应晶体管制作。但是替代Pd或Rh,使用在每个金属/SWNT接点处生成肖特基势垒的金属如Mo或Pt,以接触纳米管的末端。得到SWNT场效应晶体管,它通过输送越过以及穿过肖特基势垒的载流子调整。
实施例XIII
批量调整的SWNT场效应晶体管
参考图6A(不按比例),提供支撑底材50例如石英晶片或其他合适底材。在所述底材上使例如50nm-厚的Mo和50nm厚的Ti层的导电接触垫52、54图案化以提供晶体管源极和漏极连接。在源极衬垫52和漏极衬垫54之间提供Mo和Ti的金属线56。通过常规剥离形成源极衬垫和漏极衬垫及金属线。
参考图6B,随后如实施例I,通过聚焦离子束或其他合适方法在底材50中横跨源极衬垫与漏极衬垫之间的金属线56切割宽1μm、深0.5μm的沟槽62。这在源极衬垫与漏极衬垫之间形成间隙60且使源极衬垫和漏极衬垫的边缘与沟槽62的边缘自对准。如图6C所示,随后通过ALD在电极表面上沉积例如Al2O3或其他所选材料的0.5nm厚的层64,以防止钼与纳米管催化剂金属之间合金化。
参考图6D,随后沉积约0.3nm厚的Co催化剂层66且通过剥离在电极表面上图案化以形成催化剂区域。在催化剂处于适当位置的情况下,随后如图6E所示,如上文实施例I,横跨源极与漏极之间的沟槽60合成一个或多个SWNT70。催化剂在合成温度下聚结且由此方法将它有效移除。为简明起见,在图中仅展示单一合成的纳米管。
转向图6F,远离悬置SWNT的源极52和漏极54的部分用载玻片74或类似光滑表面或用平版印刷抗蚀剂掩蔽。随后用Al2O3或其他合适材料的层72涂覆所述结构。除了在掩模74下面的区域之外,这种绝缘层72涂覆预图案化的金属电极。所述绝缘层不涂覆SWNT的悬置部分,因为如此合成的纳米管对气相沉积方法如ALD沉积方法具有惰性。因此,纳米管自绝缘体沉积方法自掩蔽。然而,绝缘层涂覆SWNT末端和源极衬垫和漏极衬垫处的接点,因为介电层可自底材表面围绕纳米管边缘生长。这种选择性沉积可用任何所选蒸气方法(包括例如低温CVD方法)进行,SWNT对此方法在化学上具有惰性。
在所述绝缘层形成期间沉积的选择性质使得能够精确限定纳米管栅极长度。具体地说,可选择层厚度以限定所选栅极长度。假定在纳米管合成步骤之前悬置纳米管长度可近似为沟槽宽度,则可相应选择Al2O3厚度,使得通过这个步骤留下的未涂覆的纳米管表面的程度限定栅极长度。例如,假定200nm宽的沟槽,则如图6F所示,在沟槽边缘处50nm厚的Al2O3层涂覆50nm,使未涂覆的纳米管悬置长度为100nm。此100nm纳米管长度因此限定栅极长度。
在所述绝缘层处于适当位置的情况下,随后如实施例III用在纳米管表面上形成的官能化层和稳定层使SWNT官能化。参考图6G,随后通过ALD或其他合适技术沉积Al2O3的栅极介电层76。在此,沉积涂覆官能化的悬置纳米管以限定悬置栅极,栅极长度通过所述涂覆限定。因此栅极介电层76优选具有选择作为适于场效应晶体管的同轴栅氧化层厚度的厚度。对于许多应用来说,可优选约5nm的栅氧化层厚度。小于约5nm的厚度可实现电子隧道现象,且大于约5nm的厚度可由于与纳米管栅极耦合而产生电容损失。
参考图6H-IA,随后通过上文实施例的ALD技术使WN、TiN、Cu、Ru或其他材料的同轴金属栅极层78沉积且使其图案化,且在SWNT长度上剥离。图6I为示意性平面图,它不按比例,表明横穿纳米管70的长度且重叠源极连接52和漏极连接54的末端与纳米管的栅极层78的几何结构。栅极金属的厚度优选足够大以生成对于所选器件几何结构足够小的薄层电阻。ALD的WN为厚度大于约20nm的优选的栅极材料。
在这种构造中,在SWNT接点和源极和漏极电连接处的绝缘层的厚度大于包入SWNT的官能化长度的栅极电介质的厚度。如上文所说明,这产生绝缘层沉积条件,在此条件下未官能化的纳米管对沉积具有惰性且精密限定作为栅极的纳米管的长度。在此配置中,通过向栅电极施加电压产生的电场沿薄涂覆的SWNT长度比在纳米管末端处厚涂覆的SWNT/金属接点处具有更大作用。假定在SWNT/金属接点处的绝缘层足够厚,则电场在那些接点处的作用基本可忽略,且SWNT场效应晶体管很好地批量调整操作。
实施例XIV
垂直SWNT场效应晶体管
参考图7A(不按比例),提供支撑底材100,例如石英晶片或其他合适底材。在所述底材中形成沟槽102且在沟槽中形成Co催化剂区域104用于在沟槽中生长纳米管。如上文实施例,金属催化剂区域104通过蒸发和剥离生成。或者,分离的催化剂区域104可通过在整个结构之上覆盖ALD Co或其他金属且抛光底材100的上表面的金属生成。非保形耐熔保护层可作为替代沉积在底材100表面的催化剂之上。例如,可使用CVD或非保形(低暴露)ALD SiO2覆盖上表面上的催化剂且使其失活,其中不需要纳米管。
参考图7B,随后根据实施例I的合成使碳纳米管110垂直向上生长且伸出沟槽102。如图7C所示,随后以上文实施例针对例如Rh、Pd和Ru所述的方式,通过ALD技术在所述结构之上沉积金属层112。因为使用ALD技术进行金属沉积,所以假定纳米管对ALD方法具有惰性,则在底材之上自由直立的纳米管110的部分114未用金属涂覆。沟槽102中纳米管的区域用金属涂覆,因为金属自沟槽的壁生长且因此围绕沟槽中的纳米管沉积。这形成具有与纳米管的电连接的晶体管的源极(或漏极)。
随后如图7D所示,二氧化硅的绝缘层116通过蒸气方法如CVD方法或ALD技术以上文实施例的方式沉积在所述结构之上。所选蒸气方法优选为SWNT对它具有惰性的方法。在这种状况下,假定纳米管对ALD或其他所选蒸气方法具有惰性,则在底材之上自由直立的纳米管110的部分118未用绝缘层涂覆。
如图7E所示,随后纳米管110的表面120根据上文实施例的程序官能化且稳定化,随后如图7F所示,栅极电介质的层122通过ALD技术沉积在所述结构之上。这增加第一绝缘层116的厚度且还涂覆官能化碳纳米管110。参考图7G,随后栅极金属的层124以上文实施例的方式通过ALD技术沉积,涂覆纳米管之上的栅氧化层122且涂覆较厚氧化层116。
参考图7H,随后二氧化硅的层126以上文实施例的方式通过ALD沉积,覆盖栅极金属层124以便限定晶体管漏极区的连接。随后如图7I所示,顶层通过CMP抛光以暴露纳米管110的末端130。随后如图7J所示,将栅极绝缘体层122的顶部区域134围绕纳米管移除。这通过移除高κ氧化物而不溶解较厚绝缘体126的化学选择性蚀刻进行。例如,如果绝缘体126为SiO2,则可使用盐酸选择性移除高κ绝缘体如氧化镧。
如图7K所示,随后选择性移除金属栅极层124的顶部区域136。例如,如果氮化钨用作栅极金属,则它通过过氧化氢-氨蚀刻溶液选择性移除。在这种构造中,随后如图7L所示,二氧化硅层140通过ALD技术沉积在所述结构上。二氧化硅在区域142中围绕纳米管110沉积,其中其他表面可用于沉积,但不沉积在暴露的纳米管本身上,因为裸纳米管表面对ALD方法具有惰性。最后如图7M所示,金属层144通过ALD沉积以形成漏极接触。用这种沉积为沿纳米管晶体管结构的连接提供源极接触112和漏极接触144及栅极接触124,且实现晶体管沿纳米管长度的批量调整。
鉴于上文描述内容,描述本发明以提供使碳纳米管官能化以便能够在纳米管上沉积各种材料的可靠技术。通过使用优化的官能化参数,可将纳米管用格外薄、连续且径向各向同性的高κ介电材料涂覆。由官能化所引起的纳米管导电率的改变可通过肖特基势垒钝化来避免。因为本发明的官能化技术避免了共价改性,所以碳纳米管的光电性质及其他性质得以保持。通过本发明提供的官能化的碳纳米管的广泛应用包括基于同轴栅极碳纳米管的器件、基于碳纳米管的传感器和用于例如蚀刻掩蔽的所选材料的纳米管。当然,应认识到本领域的技术人员可在不脱离本发明所属技术的精神和范围的情况下对本发明的方法进行各种修改和补充。因此,应了解据此设法提供的保护应视为扩展权利要求的主题及其所有完全在本发明的范围内的等价物。

Claims (15)

1.一种官能化的单壁碳纳米管,所述单壁碳纳米管包括:
同轴纳米管结构,该结构包括包含NO2的官能化层,所述官能化层非共价键合纳米管表面,且在纳米管表面之上提供化学官能团;和
稳定层,该稳定层覆盖所述官能化层以使其稳定化而抵抗官能化层的脱附,同时提供化学官能团。
2.权利要求1的单壁碳纳米管,其中所述官能化层的厚度不大于一个单层。
3.权利要求1的单壁碳纳米管,其中所述官能化层的厚度不大于1纳米。
4.权利要求1的单壁碳纳米管,其中所述纳米管为半导电的。
5.权利要求1的单壁碳纳米管,其中所述稳定层共价键合所述官能化层。
6.权利要求1的单壁碳纳米管,其中所述稳定层包含Al2O3
7.权利要求1的官能化的单壁碳纳米管,所述单壁碳纳米管还包含通过将所述稳定的纳米管表面暴露于至少一种材料层前体物质而沉积在所述稳定层上的电绝缘层。
8.权利要求7的单壁碳纳米管,其中所述电绝缘层选自Al2O3、LaAlO3、HfO2、ZrO2、Ta2O5及其混合物。
9.权利要求7的单壁碳纳米管,所述单壁碳纳米管还包含覆盖所述绝缘层的导电层。
10.权利要求9的单壁碳纳米管,其中所述导电层选自Rh、Pd、WN和TiN。
11.权利要求1的单壁碳纳米管,其中沿纳米管的所选部分或总纵向侧壁长度,所述纳米管表面基本完全同轴涂覆官能化层。
12.权利要求1的单壁碳纳米管,其中所述官能化层通过以下方法制备:将所述纳米管表面暴露于至少一种蒸气,所述至少一种蒸气包括包含NO2的至少一种官能化物质,所述官能化物质非共价键合纳米管表面,同时在纳米管表面提供化学官能团,以制备官能化的碳纳米管表面。
13.权利要求12的单壁碳纳米管,其中所述纳米管表面的暴露包括将纳米管表面循环交替暴露于第一蒸气和第二蒸气中,所述第一蒸气包括物理吸附在纳米管表面上的NO2,所述第二蒸气包括第二官能化物质,所述第二官能化物质与物理吸附的NO2反应以在纳米管表面上形成化学官能团的官能化层。
14.权利要求1的单壁碳纳米管,其中所述稳定层通过以下方法制备:将官能化的纳米管表面暴露于至少一种蒸气稳定物质,所述蒸气稳定物质与官能化层反应形成稳定层,该稳定层使官能化层稳定化而抵抗自纳米管表面的脱附,同时在纳米管表面上提供化学官能团,以制备稳定的和官能化的纳米管表面。
15.权利要求1的单壁碳纳米管,所述单壁碳纳米管还包含材料层,所述材料层置于所述稳定层上,并通过以下方法制备:将所述稳定的和官能化的纳米管表面暴露于至少一种材料层前体物质,所述前体物质将材料层沉积在稳定的官能化纳米管表面上。
CN2007800123438A 2006-02-07 2007-02-07 碳纳米管的气相官能化 Expired - Fee Related CN101873992B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US76600006P 2006-02-07 2006-02-07
US60/766,000 2006-02-07
PCT/US2007/003268 WO2008085183A2 (en) 2006-02-07 2007-02-07 Gas-phase functionalization of carbon nanotubes

Publications (2)

Publication Number Publication Date
CN101873992A CN101873992A (zh) 2010-10-27
CN101873992B true CN101873992B (zh) 2013-11-06

Family

ID=39608214

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800123438A Expired - Fee Related CN101873992B (zh) 2006-02-07 2007-02-07 碳纳米管的气相官能化

Country Status (6)

Country Link
US (2) US7767114B2 (zh)
EP (1) EP1999067B1 (zh)
JP (1) JP2009538808A (zh)
KR (1) KR20080092983A (zh)
CN (1) CN101873992B (zh)
WO (1) WO2008085183A2 (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102183630A (zh) * 2005-04-06 2011-09-14 哈佛大学校长及研究员协会 用碳纳米管控制的分子鉴定
WO2008085183A2 (en) 2006-02-07 2008-07-17 President And Fellows Of Harvard College Gas-phase functionalization of carbon nanotubes
US8119032B2 (en) 2006-02-07 2012-02-21 President And Fellows Of Harvard College Gas-phase functionalization of surfaces including carbon-based surfaces
KR100822799B1 (ko) * 2006-04-25 2008-04-17 삼성전자주식회사 나노크기의 도전성 구조물을 위한 선택적인 촉매 형성 방법및 선택적인 나노크기의 도전성 구조물 형성 방법
US8758717B2 (en) * 2006-10-19 2014-06-24 Rensselaer Polytechnic Institute Electrical current-induced structural changes and chemical functionalization of carbon nanotubes
WO2008156583A1 (en) * 2007-06-13 2008-12-24 President And Fellows Of Harvard College Gas-phase functionalization of surfaces including carbon-based surfaces
EP3011962B1 (en) 2007-07-10 2018-12-05 LifeCell Corporation Acellular tissue matrix compositions for tissue repair
KR100907025B1 (ko) * 2007-07-26 2009-07-10 삼성전자주식회사 전자를 받을 수 있는 작용기를 가진 화학물질로 처리한탄소 나노 튜브 박막 및 그 제조방법
JP2009283850A (ja) * 2008-05-26 2009-12-03 Elpida Memory Inc キャパシタ用絶縁膜及びその形成方法、並びにキャパシタ及び半導体装置
US7858506B2 (en) 2008-06-18 2010-12-28 Micron Technology, Inc. Diodes, and methods of forming diodes
US8183164B2 (en) * 2008-07-29 2012-05-22 University Of Dayton Method for preferential growth of semiconducting vertically aligned single walled carbon nanotubes
JP5155767B2 (ja) * 2008-08-04 2013-03-06 国立大学法人大阪大学 ガス検知素子
US8294116B2 (en) * 2008-09-11 2012-10-23 Applied Nanotech Holdings, Inc. Photocathode with nanomembrane
CN104192792B (zh) * 2008-11-14 2016-06-29 清华大学 纳米结构的制备方法
KR101074917B1 (ko) * 2009-03-31 2011-10-18 전북대학교산학협력단 코어-쉘 구조 복합나노입자를 감지물질로 이용한 박막형 고활성 가스센서 및 그 제조방법
CA2758694C (en) 2009-04-17 2017-05-23 Seerstone Llc Method for producing solid carbon by reducing carbon oxides
EP2480703A4 (en) 2009-09-22 2013-10-30 3M Innovative Properties Co PROCESS FOR APPLYING COATINGS BY DEPOSITION OF ATOMIC LAYERS ON POROUS NON-CERAMIC SUBSTRATES
US8614435B2 (en) * 2009-11-03 2013-12-24 International Business Machines Corporation Utilization of organic buffer layer to fabricate high performance carbon nanoelectronic devices
JP5184498B2 (ja) * 2009-12-10 2013-04-17 日本電信電話株式会社 成膜方法
US8404539B2 (en) * 2010-07-08 2013-03-26 International Business Machines Corporation Self-aligned contacts in carbon devices
US20120128867A1 (en) * 2010-11-23 2012-05-24 Paulson Charles A Method of forming conformal barrier layers for protection of thermoelectric materials
WO2012085849A2 (en) * 2010-12-23 2012-06-28 Aluart Ip Pty Limited Process and apparatus for manufacturing of an etched metal substrate
TWI477603B (zh) * 2011-01-28 2015-03-21 Hon Hai Prec Ind Co Ltd 培育基體之製備方法
WO2013006027A1 (en) * 2011-07-01 2013-01-10 Mimos Berhad Method for use in fabricating nanomaterials based devices
US9776859B2 (en) 2011-10-20 2017-10-03 Brigham Young University Microscale metallic CNT templated devices and related methods
US9406449B2 (en) * 2011-11-10 2016-08-02 Regents Of The University Of Colorado, A Body Corporate Supercapacitor devices formed by depositing metal oxide materials onto carbon substrates
WO2013158158A1 (en) 2012-04-16 2013-10-24 Seerstone Llc Methods for treating an offgas containing carbon oxides
MX2014012548A (es) 2012-04-16 2015-04-10 Seerstone Llc Metodos y estructuras para reducir oxidos de carbono con catalizadores no ferrosos.
NO2749379T3 (zh) 2012-04-16 2018-07-28
MX354529B (es) 2012-04-16 2018-03-07 Seerstone Llc Métodos para producir carbono sólido mediante la reducción de dióxido de carbono.
US9221685B2 (en) 2012-04-16 2015-12-29 Seerstone Llc Methods of capturing and sequestering carbon
US9896341B2 (en) 2012-04-23 2018-02-20 Seerstone Llc Methods of forming carbon nanotubes having a bimodal size distribution
US11052375B2 (en) * 2012-04-26 2021-07-06 Lawrence Livermore National Security, Llc Adsorption cooling system using carbon aerogel
CN107651667A (zh) 2012-07-12 2018-02-02 赛尔斯通股份有限公司 包含碳纳米管的固体碳产物以及其形成方法
US10815124B2 (en) 2012-07-12 2020-10-27 Seerstone Llc Solid carbon products comprising carbon nanotubes and methods of forming same
MX2015000580A (es) 2012-07-13 2015-08-20 Seerstone Llc Metodos y sistemas para formar productos de carbono solido y amoniaco.
US9779845B2 (en) 2012-07-18 2017-10-03 Seerstone Llc Primary voltaic sources including nanofiber Schottky barrier arrays and methods of forming same
MX2015006893A (es) 2012-11-29 2016-01-25 Seerstone Llc Reactores y metodos para producir materiales de carbono solido.
CA2902229A1 (en) * 2013-03-14 2014-10-02 Ed Chen Method & apparatus for the electrical activation of a catalyst
US10115844B2 (en) 2013-03-15 2018-10-30 Seerstone Llc Electrodes comprising nanostructured carbon
WO2014151138A1 (en) 2013-03-15 2014-09-25 Seerstone Llc Reactors, systems, and methods for forming solid products
WO2014151144A1 (en) 2013-03-15 2014-09-25 Seerstone Llc Carbon oxide reduction with intermetallic and carbide catalysts
EP3129133A4 (en) 2013-03-15 2018-01-10 Seerstone LLC Systems for producing solid carbon by reducing carbon oxides
US9783416B2 (en) 2013-03-15 2017-10-10 Seerstone Llc Methods of producing hydrogen and solid carbon
US11270850B2 (en) 2013-12-20 2022-03-08 Fastcap Systems Corporation Ultracapacitors with high frequency response
CN104952989B (zh) * 2014-03-26 2018-02-27 清华大学 外延结构
JP6031146B2 (ja) * 2014-03-26 2016-11-24 ツィンファ ユニバーシティ ナノチューブフィルム及びその製造方法
CN104947073B (zh) 2014-03-26 2017-11-14 清华大学 纳米管膜的制备方法
EP3204955B1 (en) 2014-10-09 2022-01-05 Fastcap Systems Corporation Nanostructured electrode for energy storage device
WO2017096626A1 (zh) * 2015-12-11 2017-06-15 华为技术有限公司 一种在石墨烯表面形成栅介质层及制备晶体管的方法
EP3386557B1 (en) 2015-12-11 2021-03-31 LifeCell Corporation Methods and systems for stiffening of tissue for improved processing
WO2018013586A1 (en) * 2016-07-12 2018-01-18 Nanotech Biomachines, Inc. Graphene bio-electronic sensing technology
WO2018022999A1 (en) 2016-07-28 2018-02-01 Seerstone Llc. Solid carbon products comprising compressed carbon nanotubes in a container and methods of forming same
EP4243122A3 (en) 2016-12-02 2023-11-15 Fastcap Systems Corporation Composite electrode
CN106832937B (zh) * 2017-01-05 2019-06-11 西安交通大学 一种利用原子层沉积技术修饰碳纳米管制备耐高压复合材料及方法
US10193090B2 (en) 2017-06-20 2019-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20220023966A (ko) * 2019-04-17 2022-03-03 캘리포니아 인스티튜트 오브 테크놀로지 고종횡비 전극 구조물에 대한 원자층 증착의 개선
US11557765B2 (en) 2019-07-05 2023-01-17 Fastcap Systems Corporation Electrodes for energy storage devices
US11613807B2 (en) * 2020-07-29 2023-03-28 The Curators Of The University Of Missouri Area selective nanoscale-thin layer deposition via precise functional group lithography

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698175A (en) * 1994-07-05 1997-12-16 Nec Corporation Process for purifying, uncapping and chemically modifying carbon nanotubes
CN1775342A (zh) * 2004-11-19 2006-05-24 国际商业机器公司 包含化学改良碳纳米管结构的化学微粒过滤器

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6835366B1 (en) * 1998-09-18 2004-12-28 William Marsh Rice University Chemical derivatization of single-wall carbon nanotubes to facilitate solvation thereof, and use of derivatized nanotubes
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
KR100426495B1 (ko) * 2001-12-28 2004-04-14 한국전자통신연구원 단일 탄소 나노튜브를 이용한 반도체 소자 및 그 제조 방법
FR2834387B1 (fr) 2001-12-31 2004-02-27 Memscap Composant electronique incorporant un circuit integre et un micro-condensateur
US8152991B2 (en) * 2005-10-27 2012-04-10 Nanomix, Inc. Ammonia nanosensors, and environmental control system
US20040144972A1 (en) 2002-10-04 2004-07-29 Hongjie Dai Carbon nanotube circuits with high-kappa dielectrics
US7253434B2 (en) 2002-10-29 2007-08-07 President And Fellows Of Harvard College Suspended carbon nanotube field effect transistor
KR20150067397A (ko) 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US20050208304A1 (en) * 2003-02-21 2005-09-22 California Institute Of Technology Coatings for carbon nanotubes
WO2005120823A2 (en) * 2004-02-18 2005-12-22 University Of Florida Non-covalent bonding agent for carbon nanotube reinforced polymer composites
KR100601965B1 (ko) * 2004-10-02 2006-07-18 삼성전자주식회사 n형 탄소 나노튜브를 구비한 n형 탄소나노튜브 전계효과트랜지스터 및 그 제조방법
US7473943B2 (en) 2004-10-15 2009-01-06 Nanosys, Inc. Gate configuration for nanowire electronic devices
DK1831440T3 (da) * 2004-11-16 2010-11-08 Hyperion Catalysis Int Fremgangsmåder til fremstilling af katalysatorer understøttet på carbonnanorørnetværk
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US7939218B2 (en) 2004-12-09 2011-05-10 Nanosys, Inc. Nanowire structures comprising carbon
US20070116627A1 (en) * 2005-01-25 2007-05-24 California Institute Of Technology Carbon nanotube compositions and devices and methods of making thereof
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
CN102183630A (zh) * 2005-04-06 2011-09-14 哈佛大学校长及研究员协会 用碳纳米管控制的分子鉴定
KR100668352B1 (ko) * 2006-01-05 2007-01-12 삼성전자주식회사 질소 도핑된 단일벽 탄소나노튜브의 제조방법
US8119032B2 (en) 2006-02-07 2012-02-21 President And Fellows Of Harvard College Gas-phase functionalization of surfaces including carbon-based surfaces
WO2008085183A2 (en) 2006-02-07 2008-07-17 President And Fellows Of Harvard College Gas-phase functionalization of carbon nanotubes
US7745047B2 (en) 2007-11-05 2010-06-29 Nanotek Instruments, Inc. Nano graphene platelet-base composite anode compositions for lithium ion batteries
WO2009132165A2 (en) 2008-04-24 2009-10-29 President And Fellows Of Harvard College Microfabrication of carbon-based devices such as gate-controlled graphene devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5698175A (en) * 1994-07-05 1997-12-16 Nec Corporation Process for purifying, uncapping and chemically modifying carbon nanotubes
CN1775342A (zh) * 2004-11-19 2006-05-24 国际商业机器公司 包含化学改良碳纳米管结构的化学微粒过滤器

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
"Ab initio study of CNT NO2 gas sensor";Shu Peng et al.;《Chemical Physics Letters》;20040304;第387卷;271-276 *
Shu Peng et al.."Ab initio study of CNT NO2 gas sensor".《Chemical Physics Letters》.2004,第387卷271-276.

Also Published As

Publication number Publication date
US20100260927A1 (en) 2010-10-14
US20080296537A1 (en) 2008-12-04
EP1999067B1 (en) 2014-04-09
US7767114B2 (en) 2010-08-03
EP1999067A2 (en) 2008-12-10
WO2008085183A3 (en) 2010-07-22
US8951444B2 (en) 2015-02-10
WO2008085183A2 (en) 2008-07-17
KR20080092983A (ko) 2008-10-16
CN101873992A (zh) 2010-10-27
JP2009538808A (ja) 2009-11-12

Similar Documents

Publication Publication Date Title
CN101873992B (zh) 碳纳米管的气相官能化
US8119032B2 (en) Gas-phase functionalization of surfaces including carbon-based surfaces
US6764874B1 (en) Method for chemical vapor deposition of single walled carbon nanotubes
JP5264672B2 (ja) カーボンナノチューブを利用した半導体素子の配線形成方法およびその方法により製造された半導体素子
KR100850650B1 (ko) 금속입자의 고정 방법, 및 이 고정 방법을 각각 사용하는금속입자 함유 기판의 제조 방법, 탄소 나노튜브 함유기판의 제조 방법 및 반도체 결정성 로드 함유 기판의 제조방법
US20140225058A1 (en) Rectifying device, electronic circuit using the same, and method of manufacturing rectifying device
CN102044659B (zh) 包括环形挡件的电极
KR20020015795A (ko) 전자, 스핀 및 광소자 응용을 위한 탄소나노튜브의 선택적 수평성장 방법
US20050147746A1 (en) Nanotube growth and device formation
WO2008156583A1 (en) Gas-phase functionalization of surfaces including carbon-based surfaces
WO2003091486A1 (en) Methods of using pre-formed nanotubes to make carbon nanotube films, layers, fabrics, ribbons, elements and articles
US20100133654A1 (en) Method for manufacturing capacitor of semiconductor
US8377556B2 (en) Material for growth of carbon nanotubes
US7718224B2 (en) Synthesis of single-walled carbon nanotubes
TW200419004A (en) Catalyst structured in particular to produce flat screens with field emission
Yang et al. Selective growth of platinum nanolines by helium ion beam induced deposition and atomic layer deposition
US11211560B2 (en) Self-assembly of nanostructures
JP4948939B2 (ja) カーボンナノチューブの合成方法、シリコン基板、電子源および電界放出型ディスプレイ
JP2005321327A (ja) 有機無機ハイブリッド薄膜センサの高感度化
JP2007063035A (ja) カーボンナノチューブ製造用の基板、製造装置及び製造方法、ならびに半導体装置及びその製造方法
Hermann et al. Wafer level approaches for the integration of carbon nanotubes in electronic and sensor applications
KR101015507B1 (ko) 탄소나노튜브를 이용한 반도체 소자의 배선 형성 방법 및 이 방법에 의해 제조된 반도체 소자
KR20110003216A (ko) 탄소나노튜브의 제조 방법
Palmer Nanowire fabrication redefined from top to bottom
WO2011022091A1 (en) Method and apparatus for depositing a metal coating upon a nanotube structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20131106

Termination date: 20200207

CF01 Termination of patent right due to non-payment of annual fee