JP2008294440A - プラズマイオン注入システム - Google Patents

プラズマイオン注入システム Download PDF

Info

Publication number
JP2008294440A
JP2008294440A JP2008125140A JP2008125140A JP2008294440A JP 2008294440 A JP2008294440 A JP 2008294440A JP 2008125140 A JP2008125140 A JP 2008125140A JP 2008125140 A JP2008125140 A JP 2008125140A JP 2008294440 A JP2008294440 A JP 2008294440A
Authority
JP
Japan
Prior art keywords
ion implantation
implantation system
plasma
plasma ion
vacuum chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008125140A
Other languages
English (en)
Inventor
Young-Dong Lee
永 東 李
Tolmachev Yuri
トルマチェフ ユリ
Volynets Vladimir
ボリネッツ ウラディミール
Pashkovskiy Vasily
パシュコフスキー バシリ
Andrey Ushakov
ウシャコフ アンドレイ
Gyeong-Su Keum
京 洙 琴
Jae-Hyun Han
宰 賢 韓
Dong-Cheol Kim
東 哲 金
Hyung Chul Cho
炯 ▲ちょる▼ 趙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2008294440A publication Critical patent/JP2008294440A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】 プラズマイオン注入システムの提供。
【解決手段】 高い伝導温度によって、不必要なイオン生成及び重合ラジカルの過解離を誘発する誘導結合プラズマ(ICP)に代えて、イオン注入工程に必要なイオン及び重合ラジカルの成分のみを生成させることができるなど、イオン注入工程に有利な特性を持つ容量結合プラズマ(CCP)を生成するとともに真空チャンバーを洗浄することによって、注入されるプラズマイオンを制御しやすく、加工対象物の表面での重合体膜の沈着を減少させて不必要な蒸着、汚染発生などの問題点を最小化し、プラズマイオン注入のために使われる成分の濃度を増加させ、平板型の電極の使用によってプラズマ均一度を調節しやすくし、加工対象物に注入されたプラズマイオンの均一度を確保しやすくする。
【選択図】 図1A

Description

本発明は、プラズマイオン注入システムに関するもので、より詳細には、イオンビーム方式のイオン注入に比べて、注入されるイオンを制御しやすく、かつ、ウエハ表面における余分な蒸着、汚染発生などの問題が最小限に抑えられるプラズマイオン注入システムに関する。
プラズマを用いたイオン注入(Plasma based ion implantation;PBII)技術は、次世代80nm以下の線幅を持つ半導体素子開発に必須とされる核心技術で、CMOSを具現するためのSi素子のイオンドーピング(doping)技術である。半導体単位素子の線幅が益々狭くなるにつれ、より薄い接合深さ(junction−depth)が要求され、また、半導体素子の動作速度を向上させるためにより多くのイオン注入を必要とする。しかしながら、既存のイオンビーム(Beam Line;BL)を用いたイオン注入技術を利用する場合、上記のような工程の要求条件を満たすには生産性が顕著に低くなるという問題点があった。かかる既存のBL方式に比べて高い生産性を持つ、プラズマを用いたイオン注入工程方式が持つ利点は、注入イオンのエネルギーが低くなるにつれてより目立つことになる。のみならず、装備の構造が非常に単純である他、その大きさも相対的に小さく、かつ、価格も低廉であるという利点を有し、かつ、工程の再現性、均一性及び汚染粒子の発生といった観点でも、PBII方式はBL方式と対等な結果を見せている。
近年、下記の特許文献1及び2等、幾つかの類型のプラズマイオン注入システムが提案されてきている。その大部分は、注入されるイオンのエネルギーを正確に調節するために直角パルス型高電圧パルスをウエハに直接印加する。ただし、プラズマを発生させる方式においてはそれぞれ相違を持つ。最も単純な方式は、ウエハに印加された高電圧パルスを用いてプラズマの発生及びイオン注入工程を同時に進行する方式であり、他の方式は、プラズマを発生させる高電圧パルスとイオン注入工程のための高電圧パルスをそれぞれ独立的に使用する方式である。しかし、最近、最も広く使われている方式は、パルスではなく高周波(Radio Frequency;RF)を印加してプラズマを生成する方式で、一般に、誘導結合プラズマ(Inductively Coupled Plasma:ICP)発生装置を用いている。
RFを用いた誘導結合方式のプラズマ(ICP)は、高電圧パルスを用いて発生させたプラズマに比べて工程領域が広く、アーク(arcing)発生頻度が非常に低いという長所を持っているが、何よりも、誘導結合プラズマ(ICP)を用いたイオン注入工程の最も重要な長所は、注入されるイオンの量とエネルギーを独立的に調節できるという点である。すなわち、印加するRFパワーを変化させてプラズマ密度を調節することができ、これを用いて、注入されるイオンの量を調節することが可能になる。また、ウエハに印加される高電圧パルスは、注入されるイオンのエネルギーを調節可能にする。
誘導結合プラズマ発生装置の場合、円筒形構造を持つプラズマチャンバーの上部に、電流が流れうる金属材質のコイルが設置されており、これは、その下に位置している絶縁物質からなるプレート(plate)を介在してチャンバーと隔離されている。このような誘導結合プラズマ発生装置は、様々な放電条件(例えば、ガス種類、圧力、パワー等)で高い密度のプラズマを生成することができる。
このような誘導結合プラズマ発生装置は、高密度のプラズマを容易に生成させ、様々な半導体工程に広く使われている。しかし、既存の円筒形構造の誘導結合プラズマ発生装置をPBII工程に適用する場合、次の問題点が生じる。
PBII工程は、プラズマ発生装置によって生成されたプラズマイオンを、ウエハに印加される高電圧パルスを用いて強く加速させ、ウエハ表面に深く注入させる工程である。したがって、効果的なイオン注入工程のためには、工程ガスの解離(dissociation)を抑えてウエハ表面に余分な膜質形成を最小化し、イオンの注入を円滑にすることができるプラズマの生成が必要である。ところが、誘導結合プラズマの場合、容量結合プラズマに比べて高い伝導温度(Electron Temperature)を持っているから、イオン及びラジカルの生成を過誘発させ、結果として不必要なイオンの注入及び工程ガスの過解離によってウエハ表面における膜質蒸着や汚染発生などを招き、工程効率に否定的な影響を及ぼすことになる。また、誘導結合方式は、コイル周辺でフィールドが強く形成されてプラズマが集中するので、プラズマ均一度の調節に難があり、誘電体(dielectric)の使用によってプラズマ発生装置の構造が複雑になるという問題点があった。
米国特許第6,528,805号 米国特許第6,716,727号
本発明は上記の問題点を解決するためのもので、その目的は、誘導結合プラズマ発生方式が持つ問題点を解決しながら、広い工程領域でも相変らず効率的な放電が可能であり、かつ、不必要なイオン化及び解離を最小化して工程効率を上げながら、プラズマ均一度を確保することができるプラズマイオン注入システムを提供することにある。
上記の目的を達成するための本発明のプラズマイオン注入システムは、加工対象物の表面にイオンを注入する装置であって、前記加工対象物が配置され、プラズマが発生する反応空間を持つ真空チャンバーと、前記真空チャンバーに反応ガスを供給する第1ガス供給装置と、前記真空チャンバーに洗浄ガスを供給する第2ガス供給装置と、前記真空チャンバー内に互いに対向して設置される上部電極と下部電極と、前記上部電極にプラズマ生成のために高周波電力を供給する高周波供給装置と、前記加工対象物と下部電極に高電圧を供給する高電圧供給装置とを含むことを特徴とする。
本発明によれば、高い伝導温度によって、不必要なイオン生成及び重合ラジカルの過解離を誘発する誘導結合プラズマ(ICP)に比べて、容量結合プラズマ(CCP)は、イオン注入工程に有利な特性を持つプラズマを発生させるので、イオン注入工程に必要なイオン及び重合ラジカルの成分のみを生成させることができ、注入されるプラズマイオンを制御しやすく、加工対象物の表面での重合体膜の沈着を減少させて不必要な蒸着、汚染発生などの問題点を最小化でき、プラズマイオン注入のために使われる成分の濃度を増加させ、平板型の電極の使用によってプラズマ均一度を調節するのに容易であり、加工対象物に注入されたプラズマイオンの均一度を確保するにも容易である。
また、本発明によれば、プラズマパラメータとイオンエネルギーパラメータを独立して制御することが可能になる。プラズマは、容量結合型プラズマ発生装置によって点火されて安定的に維持されることができる。
また、真空チャンバーの洗浄はいかなる種類のプラズマ発生装置でも避けられない。低エネルギー重合体形成成分は放電時に常に存在する。したがって、真空チャンバーの電気的特性を維持させるための方法は、効率的でなくてはならなく、かつ、チャンバー設計に当って統合されなければならない。本発明では、効率的なチャンバー洗浄を提供するために、遠隔洗浄用プラズマ発生装置とこれと関連した装置が提案される。洗浄とパワー分配のバランスをとるために、遠隔洗浄用プラズマ発生装置のダクトは、容量結合型プラズマRF移送構造と一体化され、よって、洗浄とRF発生器からのパワー分配のいずれにも悪影響を与えない。
また、本発明では、加工対象物表面の状態調節のために特殊な電圧パルスが提案される。四角の高電圧パルスを印加することによって、ほとんど正確なイオンエネルギー分配作用がなされる。同時に、陽または陰の電圧オフセットをメインパルス間で印加することによって加工対象物上でのイオンとラジカル成分の沈着を制御できるようにし、その結果、重合体膜が加工対象物上に沈着するのを防止し、かつ、加速されたイオンの後続注入に悪影響を及ぼさない。
また、本発明によれば、RF発生器のより高い周波数は、プラズマ濃度とそれによる加工対象物表面でのイオンフラックスを制御するが、シース電圧またはイオンエネルギーには悪影響を及ぼさない。30MHzまたは50MHzを超過するより高い周波数を用いてより良い結果が得られる。いくつかの場合では、160MHzまたは200MHz以上と高いソースパワー周波数を有することができるので、適用範囲を非常に拡大することができる。
また、本発明によれば、ICP放電の誘電体ドーム(dielectric dome)に比べて誘電体天井の面積を減少させる。ICPでは、ドーム表面が、ドーム表面に衝撃を加える高エネルギーイオンによってスパッタリングされ易くなる。
以下、本発明の好適な実施例を、添付の図面を参照しつつ詳細に説明する。
本発明の実施例によるプラズマイオン注入システムが、図1A乃至図4に示されている。まず、図1Aに示すように、加工対象物(workpiece)501は、真空チャンバー500の内部で下部電極553上に配置されている。真空チャンバー500は、側壁504と、RF容量結合型の上部電極502を備えた誘電体天井503とを有する。真空チャンバー500の側壁504の面積は、加工対象物501の面積よりも大きい。上部電極502は、加工対象物の前方に所定の距離を置いて配置される。上部電極502には、高周波供給装置508,509が電気的に連結される。高周波供給装置508,509は、RF発生器508とRF整合器509とを含む。反応ガスは、ガス供給装置534,535,538を通じて処理ゾーン(process zone)へ移送される。本発明では、例えば、BFとOが上側ガス供給装置534から、上部電極502に形成されたシャワーヘッドタイプの多数のガス注入口502−1を介して移送されることができる。これらのガスは、上部電極502の中心部に形成された特殊ダクト511を通して真空チャンバー500側に移送され、一連のガス注入口502−1を介して均一に分配される。工程、チャンバー洗浄、状態調節に必要な他のガスは、側壁504に形成されたノズルを通して移送されることができる。側壁504に備えられる一側のガス供給装置535は、NFとArのような洗浄ガスを移送する役割を果たし、ガス分配リング536とガスノズル537とを含む。SiH、He、H及びArを移送する役割を果たす他側のガス供給装置538は、ガス分配リング539とガスノズル537−1とを含む。真空チャンバー500は、真空ポンプ513と真空バルブ514とを含む真空装置によって、放電動作に好適な最適の圧力に保持される。
本発明の他の例が、図1Bに示されている。図1Bに示すように、真空チャンバー500を洗浄するために、遠隔洗浄用プラズマ発生装置(remote plasma cleaning generator)507が使われる。遠隔洗浄用プラズマ発生装置507は、真空チャンバー500から隔たって設けられ、特殊ダクト511を通して真空チャンバー500の内部と連通する。RFパワーが遠隔洗浄用プラズマ供給装置507に流入するのを防止するために、絶縁体510が備えられる。図1Aと同様に、NFのような洗浄ガスは、上側のガス供給装置507−1から移送され、BFのような反応ガスは、輪状のガス分配リング531とガスノズル532とを持つ側壁のガス供給装置530から移送される。
加工対象物は、高電圧供給装置505,506によって電気的に連結されている。高電圧供給装置505,506は、特別な形態の高電圧四角パルスを加工対象物に印加する高電圧変調器(HV modulator)505と、下部電極553に定電圧を印加するDC供給器506とを含む。高電圧変調器505と加工対象物とは、参照番号501−1及び551−1で概略的に示された特別配線と伝達手段を介して電気的に連結される。加工対象物は、誘電体層552を介して加工対象物と下部電極553との間に形成される静電力によって支持台550に付着される。DC電源装置506は、下部電極553と連結される。
図1Cは、表面502−2がAlからなり、イオン注入特性に影響を及ぼす特殊物質で覆われた上部電極502を示す詳細図である。本発明の一実施例によれば、上部電極502はSi(502−3)で覆われており、上部電極502に対応する位置にガス注入のためのガス注入口502−1が形成される。なお、加工対象物のAlによる汚染を防止するために、薄い厚さ(10〜50μm)のAl酸化被膜層を使用しても良い。
図2は、上部電極502−10がシャワーヘッド形態を持ちなく、BF、О、Arのような反応ガスが第1側壁のガス供給装置530からガスノズル532を通して真空チャンバー500に移送され、NF、Arのような洗浄ガスが、第2側壁のガス供給装置535からガスノズル537を通して真空チャンバー500に移送される場合を示す。
真空チャンバー500中のプラズマは、適切な整合器(RF matcher)509を介してRF発生器(RF generator)508に連結される上部電極502−10によって形成される。
一方、図1A乃至図2において、上部電極と下部電極間の間隔は、下部電極により限定された特定値に設定され、使われる電気パルスの電気的パラメータによって決定される。
図3は、真空チャンバー500の側壁に形成されたガスノズルの一例を示す図である。図3に示すように、SiH、He、H、Ar等の反応ガスのための反応ガスノズル537が同一平面上に配置され、NH、Ar等の洗浄ガスのための洗浄ガスノズル537−1が他の平面上に配置される方式で、ノズル開口部が真空チャンバー500の側壁に沿って均一に配置される。洗浄ガスが移送されない陰影領域を最小化するために、洗浄ガスノズル537−1は反応ガスノズルごとに配置される。ノズルの長さは、真空チャンバーの条件によって最適化されるが、例えば、10〜80mmとすることができる。
図4は、真空チャンバー500の設計に当たって重要な要素とされる真空チャンバー500内の上部電極と下部電極間の間隔520を示す。この間隔は、高電圧パルスが印加される際にプラズマシース厚さを超過するようにして決定される。シース厚さは、下記の式(1)または式(2)のようなチャイルド・ラングミュア(Child−Langmuir)の法則によって決定される。
Figure 2008294440
(式中、jは電流密度、eは電子の電荷、Mは電子の質量、Vは電極間の電位差、sは電極間の距離を表す。)
イオンがプラズマ境界から移動可能な最大距離を求めることができる。イオン注入パラメータのうち、イオン電流は1〜数アンペア(A)の範囲となる。
これらのパラメータに基づき、プラズマと電極間のギャップは20〜30mmと測定されることができる。より詳細には、このような測定は、−5000Vの電圧が電極に印加され且つ電流密度が1mA/cmの時、プラズマが電極から、Bである場合24mmを移動し、BFの場合17mmを移動するということを意味する。
−10000Vが印加される場合、ギャップ大きさの対応値は、それぞれイオン電流密度が同一レベルに保持されている状態で68mmと48mmまで増加する。典型的な容量結合型プラズマ反応装置が0〜30mmのギャップを持つことを勘案する時、プラズマイオン注入システムでギャップが大きい場合、放電は、上部電極と下部電極との間からよりは、かえって上部電極と壁体との間から始まることができる。
本発明の作動過程によれば、反応ガスは、一連のノズル532,537,502−1(図1A及び図1B参照)を通って工程チャンバー500中に注入され、RFパワーは、RF発生器508から対応する整合器509を介して上部電極502に印加される。電源が印加されると、振動(oscillating)電磁気場が、ガスが移送される真空チャンバー500の空間を満たし、容量結合が、上部電極502と導電性チャンバー壁体504との間、そして上部電極502と加工対象物501と注入されたイオンが向かう他の対応構造物(例えば、加工対象物を取り囲むリング551)との間から始まる。したがって、容量シースは、最初ゼロ電位を持つガスと上部電極502との間で形成される。RF電流は、シースを通過して流れ、バルクガスプラズマで電子の無作為衝突のない加熱(stochastic collisionless heating)と抵抗加熱(ohmic heating)を招く。
もし、加工対象物501が、p−タイプ導電性不純物が部分的に注入されている結晶質シリコン加工対象物である場合では、ガス供給装置530または534は、ホウ素を不純物成分として含むBFを供給する。一般的に、ドーパント含有ガスは、ホウ素(シリコンでp−タイプ導電性不純物)と揮発成分のような不純物を含む化学物質である。BFのようなドーパントガスのフッ化物を含有するプラズマにおいて、BF2+、BF+、B+、F+、F−等の様々なイオン成分の分配がなされる。全タイプの成分はシースを通過して加速され、加工対象物の表面に注入される。
ドーパント原子は、充分に高いエネルギーで加工対象物に衝突する際に、通常、揮発成分から解離される。
ドーパント成分は、真空チャンバー500内部の反応空間に生成されるプラズマ540に形成される。ドーピング(doping)成分を加工対象物501に向かわせるために、1〜10kV大きさの陰極性の連続した高電圧パルスが、高圧変調器505から下部電極550、特に、加工対象物501と加工対象物501を覆う導電リング551に印加される。導電リング551は、加工対象物領域の付近で静電界をより均一にさせる役割を果たす。もし電界が均一でなければ、加工対象物に向くイオン成分が、加工対象物の表面からはずれたり、または、加工対象物の表面層に非垂直に衝突し、加工対象物の隅領域における注入効果を低下または不所望に変更させたりすることができる。
いくつかの場合において、上部電極502は、図1Cに示すように、それぞれ異なる伝導性を持つ物質からなる特殊層で被覆されることができる。これは、真空チャンバーの内部表面と加工対象物501表面の汚染を減らすためである。一例として、加工対象物上に落ちるAl粒子から真空チャンバー500を保護するために、Alの薄い誘電体陽極酸化層502−4を使用する。誘電体層上におけるバイアシングの結果として、プレートの前方に形成された電圧は高くない。プレートは、10〜50μmの薄い厚さを持ち、よって、高電圧が高圧変調器505からプラズマに印加される時間内で充電されるように高い容量を有する。パルス−オフ時間の間に誘電体層は放電されることができる。他の例として、Al電極上にSi層502−3を使用する。Siは、その前方のバイアス電圧が高くなく、そのスパッタリングが顕著に起こるのを防ぐ導体として考慮されることができる。
図1A及び図3に示すように、ガスノズル537,537−1は、様々なガスを真空チャンバー500に移送させる。このようなガスノズルの一セットは、装置洗浄のためのNFのような洗浄ガスを移送するのに使われることができる。その場合、図1Bの遠隔洗浄用プラズマ発生装置507を使用する必要がなくなる。また、ガスノズル537−1は、真空チャンバー500とガスラインの浄化ガス(Ar)、SiH移送のための希釈ガス(He)、及び、Hによるチャンバー洗浄及び状態調節のために使われる。Hは、H+F→HF+Hの反応でFを除去する。また、SiHは、チャンバー壁体から過度なFを除去するために、真空チャンバー500内に放電されることなく移送される。いくつかの他の場合においては、反応ガスを側壁から移送し、シャワーヘッドを通じてチャンバー500を洗浄しても良い。
高電圧パルスが印加される時、加工対象物501とバルクプラズマ540との間には、イオン成分の加速が起きるシース560−1(図4)が形成される。特定の技術要件によって印加された電圧が10kVになる時、シース厚さは20〜70mmとなることができる。
加工対象物に印加された高電圧バイアスパルスの形態を、図5A乃至図5Cに概略的に示す。パルスは、陰の極性を持つ。U−パルス571の大きさは1〜10kVに達し、T−パルス572のパルス持続時間は1〜10μs、T−オフセット573パルス間の距離は10〜100μsになることができる。印加されるパルスの立ち上がり及び立ち下がり時間は、略50〜100nsである。T−オフセットの周期の間に、電圧が加工対象物に印加(図5A)されるだけでなく、非ゼロ(non−zero)オフセット電圧も印加(図5B及び図5C)される。図5Bでは、U−オフセット非ゼロ陽電圧574が印加され、図5CではU−オフセット非ゼロ陰電圧575が印加される。
オフセット電圧の印加によって、重合体膜が沈着する問題点を解決することができる。パルスを用いた注入システムの長所の一つは、重合体膜がパルス間の一時停止時間の間に沈着するということである。陰(negative)バイアス(0〜200V)を印加することによって、基板表面に適切なエッチングがなされるようにし、表面の汚染を防止することができる。
加速されたドーピングイオンのエネルギーは、シース領域を通過しながら衝突によって減少するので、加工対象物501に印加される電圧に相応しない。20mTorrの条件で、下部電極に印加される電圧が5〜7kVであるとしても加工対象物と衝突するイオン成分の有効エネルギーは1〜2kVとなる。したがって、イオンエネルギーをモニターするための独立したシステムが要求されることができる。総注入効果は、加工対象物の表面層に沈着するイオン量によって決定されるので、イオン電流を測定することも重要である。イオンエネルギーと電流の測定は、図4に示すように、ファラデーカップ560とイオンエネルギー分析器570とを含む診断装置560,570のような特殊技術を用いて達成できる。診断装置560,570は、関連データを実時間で追跡してモニターできるデータ取得装置580と連結されることができる。
半導体の注入領域の伝導性は、接合深さと、後続のアニール工程以降の活性化した注入ドーパント成分の体積濃度によって決定される。接合深さは、高圧変調器505の電圧レベルによって制御される加工対象物上のバイアス電圧によって決定される。注入領域におけるドーパント濃度は、ドーパントの注入時間と、イオンフラックスの持続時間における加工対象物表面でのドーパントイオンフラックスとによって決定される。イオンフラックスの全体時間は、“イオンドーズ(ion dose)”と称する。ドーパントイオンフラックスは、RF発生器508から放出されるRFパワーの大きさによって決定される。このような配列は、注入時間、注入領域の伝導性及び接合深さの独立した制御を可能にする。一般に、高圧変調器505とRF発生器508のパワー出力レベルのような制御パラメータは、伝導性と接合深さの目標値を充足させながら注入時間を最小化するように選択される。イオンエネルギーとドースのより直接的な制御のために、バイアス電極は、イオンドーズを測定するためのファラデーカップ560とイオンエネルギーを測定するためのイオンエネルギー分析器570のような特殊診断装置を有する。
本発明は、真空チャンバー500の内部表面を周期的に洗浄することによってチャンバーの汚染を防止する方法をさらに含む。工程サイクルの間において、遠隔洗浄用プラズマ発生装置507によってエッチング成分が、例えば、NFのようなエッチングガスの放電を基に解離され、活性フッ化物が真空チャンバー500の壁体504または下部電極553の汚染された部分と反応して重合体フィルム汚染が除去され、ポンピング装置513,514によって送り出される。この場合において、真空チャンバー500の内部表面の伝導性が一定に維持され、真空チャンバー500の壁体504上の誘電体フィルムでのセルフバイアシングを避けることが可能になる。これにより、パワー損失及び/またはチャンバー内部におけるアークの発生といった危険を減少させることができる。
また、本発明は、図6に示すように、診断装置560,570からデータが転送され、コンピューターネットワークを介して工程チャンバーパラメータを制御及びモニタリングするクラスターツールコントローラ600と連結されるデータ取得装置580を含むことができる。参照符号601〜603は、データラインである。
図7Aに示すように、高圧変調器505が多重接触点555−1,555−2,555−3で加工対象物501と連結され、これらの多重接触点は、図7Bに示すように、加工対象物と接触時に対称構造を形成する。
図7Aで、DC供給器506から配線553−1を介して下部電極553に印加される電圧は、誘電体層552からシステムの接地構造に印加される電圧を減少させる陽(positive)の極性を持つ。一方、図7Cの場合では、DC供給器506−1から生成された電圧が接地電位に対して陰の極性を持つようにすることによって、加工対象物501から誘電体層552を経て下部電極553までの全体電圧が減少するようにする。例えば、高圧変調器505からの電圧パルスが−10kVの大きさを有し、DC供給器506からの電圧が−1kVの大きさを有すると、誘電体層552を通過する総電圧の差は単に9kVである。両側電極間の電位差は、静電クランピング(clamping)に充分な力を提供できるほど、相変らず高い。高電圧パルス間で、加工対象物は0電位を有し、下部電極553は−1kV電位を有することによって、加工対象物501を本来の位置にクランピングさせるように反対方向の誘電体層552を通過する静電界が相変らず存在することになる。
本発明の第1実施例によるプラズマイオン注入システムを示す構成図である。 本発明の第2実施例によるプラズマイオン注入システムを示す構成図である。 図1Aの上部電極の構造を拡大した図である。 本発明の第3実施例によるプラズマイオン注入システムを示す構成図である。 図1Aにおいて真空チャンバーの両側壁に設置されたガス供給装置のノズル配列を示す図である。 本発明の第4実施例によるプラズマイオン注入システムを示す構成図である。 加工対象物に印加された高電圧バイアスパルスの形態を概略的に示す図である。 加工対象物に印加された高電圧バイアスパルスの形態を概略的に示す図である。 加工対象物に印加された高電圧バイアスパルスの形態を概略的に示す図である。 本発明のプラズマイオン注入システムと外部システムのネットワーク構成を示す図である。 図1Aにおいて加工対象物と高圧変調器との間の電圧配線、及び下部電極とDC供給器との間の電圧配線を拡大した図である。 図7Aにおいて加工対象物と高圧変調器との間の多重接触点の軸方向及び方位角対称の様々な幾何学的配列を示す図である。 図7AにおいてDC供給器が下部電極に陰の定電圧を印加することを示す図である。
符号の説明
500 真空チャンバー
501 加工対象物
502 上部電極
502-1 ガス注入口
503 天井
504 側壁
505 高圧変調器
506 DC供給器
508 RF発生器
509 整合器
513 真空ポンプ
514 真空バルブ
534,535,538 ガス供給装置
550 支持台
551 導電リング
552 誘電体層
553 下部電極
551−1,501−1 配線

Claims (25)

  1. 加工対象物の表面にイオンを注入する装置であって、
    前記加工対象物が配置され、プラズマが発生する反応空間を持つ真空チャンバーと、
    前記真空チャンバーに反応ガスを供給する第1ガス供給装置と、
    前記真空チャンバーに洗浄ガスを供給する第2ガス供給装置と、
    前記真空チャンバー内に互いに対向して設置される上部電極及び下部電極と、
    前記上部電極にプラズマ生成のために高周波電力を供給する高周波供給装置と、
    前記加工対象物と下部電極に高電圧を供給する高電圧供給装置と、
    を含むプラズマイオン注入システム。
  2. 前記第1ガス供給装置は、前記真空チャンバーの側壁に設置される請求項1に記載のプラズマイオン注入システム。
  3. 前記第1ガス供給装置は、前記真空チャンバーの側壁及び天井に設置される請求項1に記載のプラズマイオン注入システム。
  4. 前記第2ガス供給装置は、前記真空チャンバーの側壁に設置される請求項1に記載のプラズマイオン注入システム。
  5. 前記第2ガス供給装置は、NFを含む洗浄ガスを供給する請求項1記載のプラズマイオン注入システム。
  6. 前記第1ガス供給装置と前記第2ガス供給装置は、前記真空チャンバーの側壁に互いに対向して設置される請求項1に記載のプラズマイオン注入システム。
  7. 前記真空チャンバー内から、化学反応希釈ガス、洗浄ガス及びその他ガスの副産物を送り出すポンピング装置をさらに含む請求項1に記載のプラズマイオン注入システム。
  8. 前記加工対象物を取り囲む導電リングをさらに含む請求項1に記載のプラズマイオン注入システム。
  9. 前記加工対象物側に設けられ、前記真空チャンバー内のイオン電流とイオンエネルギーを測定して診断する診断装置をさらに含む請求項1に記載のプラズマイオン注入システム。
  10. 前記導電リングは、高圧変調器に電気的に連結される請求項8記載のプラズマイオン注入システム。
  11. 前記高電圧供給装置は、高圧変調器とDC供給器とを含む請求項1に記載のプラズマイオン注入システム。
  12. 前記高圧変調器は、前記加工対象物に高電圧パルスを印加する請求項11に記載のプラズマイオン注入システム。
  13. 前記高圧変調器は、前記加工対象物に少なくとも0.1kVの大きさと少なくとも0.1μsの持続時間と少なくとも0.5μsのパルス間間隔を有し、作動時に、連続した範囲のいずれか一箇所では、1〜10kVの大きさと1〜10μsの持続時間と10〜100μsのパルス間間隔を持つ四角形状の高電圧パルスを印加する請求項12に記載のプラズマイオン注入システム。
  14. 前記高圧変調器は、前記高電圧パルスを前記加工対象物に、前記DC供給器によって印加される陽極性の静電圧オフセットが0〜1000Vの間隔範囲または陰極性の静電圧オフセットが0〜−1000Vの間隔範囲内で前記高電圧パルス間の間隔の間に印加する、請求項13に記載のプラズマイオン注入システム。
  15. 前記高電圧パルスの立ち上がり時間と立ち下がり時間は、前記高電圧パルスの大きさの持続時間よりも短い請求項14に記載のプラズマイオン注入システム。
  16. 前記DC供給器は、クランピング静電力を提供するために前記加工対象物に陰の極性で電気的に連結されたことを含む請求項1に記載のプラズマイオン注入システム。
  17. 前記加工対象物は、複数の接触点で、前記高圧変調器から高電圧パルスを移送するための配線によって連結される請求項12に記載のプラズマイオン注入システム。
  18. 前記複数の接触点は、前記加工対象物の表面にわたって軸方向対称または方位角対称構造を有する請求項17に記載のプラズマイオン注入システム。
  19. 前記上部電極の一部は、AlまたはSi層などの付加層で覆われる請求項1に記載のプラズマイオン注入システム。
  20. 前記真空チャンバーの側壁の面積は、前記加工対象物の面積よりも大きい請求項1に記載のプラズマイオン注入システム。
  21. 前記第1ガス供給装置が前記真空チャンバーの天井に設置された場合、遠隔洗浄用プラズマ発生装置が前記真空チャンバーの外部から前記第1ガス供給装置のガス供給経路上に設置され、ここで、遠隔洗浄用プラズマ発生装置は、前記上部電極に形成されたガス注入口に特殊ダクトを介して連結される請求項1に記載のプラズマイオン注入システム。
  22. 前記特殊ダクトは、アルミナセラミックのような誘電体物質からなる請求項21に記載のプラズマイオン注入システム。
  23. 前記高周波供給装置は、RF整合器とRF発生器とを含む請求項1に記載のプラズマイオン注入システム。
  24. 前記RF発生器は、500kHz〜200MHz範囲の高周波を発生させる請求項23に記載のプラズマイオン注入システム。
  25. 前記加工対象物は、前記下部電極表面に形成された誘電体層に配置される請求項1に記載のプラズマイオン注入システム。
JP2008125140A 2007-05-23 2008-05-12 プラズマイオン注入システム Pending JP2008294440A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070050132A KR100855002B1 (ko) 2007-05-23 2007-05-23 플라즈마 이온 주입시스템

Publications (1)

Publication Number Publication Date
JP2008294440A true JP2008294440A (ja) 2008-12-04

Family

ID=39878822

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008125140A Pending JP2008294440A (ja) 2007-05-23 2008-05-12 プラズマイオン注入システム

Country Status (3)

Country Link
US (1) US20080289576A1 (ja)
JP (1) JP2008294440A (ja)
KR (1) KR100855002B1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014502409A (ja) * 2010-11-17 2014-01-30 アクセリス テクノロジーズ, インコーポレイテッド ゲルマニウムおよびホウ素イオン注入のための複合ガスの利用(implementation)
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858155B2 (en) * 2004-11-02 2010-12-28 Panasonic Corporation Plasma processing method and plasma processing apparatus
JP5360069B2 (ja) * 2008-11-18 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR101071246B1 (ko) * 2008-11-27 2011-10-10 엘아이지에이디피 주식회사 등가전기장이 발생되는 정전척 및 이를 포함하는 플라즈마 이온 주입장치
KR101098794B1 (ko) 2010-06-08 2011-12-26 에이피티씨 주식회사 플라즈마 도핑 장치 및 방법
KR101953401B1 (ko) * 2010-11-30 2019-02-28 엔테그리스, 아이엔씨. 원격 도펀트 소스를 포함하는 이온 주입기 시스템 및 방법
US8728587B2 (en) * 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
CN103165489B (zh) * 2011-12-15 2015-07-29 中国科学院微电子研究所 一种piii工艺流程控制和在线剂量、均匀性检测装置
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
WO2014092856A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
KR102064914B1 (ko) * 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
CN104752191A (zh) * 2013-12-31 2015-07-01 中微半导体设备(上海)有限公司 Icp等离子体处理腔室及其气体注入装置,硅通孔刻蚀方法
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
WO2019035135A1 (en) * 2017-08-16 2019-02-21 Nova Plasma Ltd. PLASMA PROCESSING OF AN IMPLANT
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102590963B1 (ko) 2018-07-27 2023-10-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 이온 블로커를 갖는 원격 용량성 결합 플라즈마 소스
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20200086826A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
KR20220148735A (ko) * 2021-04-29 2022-11-07 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템 및 반응기 시스템을 세정하기 위한 방법
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01243358A (ja) * 1988-03-25 1989-09-28 Matsushita Electric Ind Co Ltd 不純物のイオンドーピング方法
JPH04280055A (ja) * 1990-10-10 1992-10-06 Hughes Aircraft Co イオン注入及び表面処理に関する方法及び装置
JPH10261498A (ja) * 1996-03-01 1998-09-29 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH10335310A (ja) * 1997-05-30 1998-12-18 Sony Corp 半導体素子の製造方法
JP2001053010A (ja) * 1999-08-11 2001-02-23 Canon Sales Co Inc 不純物処理装置及び不純物処理装置のクリーニング方法
JP2002522899A (ja) * 1998-08-03 2002-07-23 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマ浸漬イオン注入ドーピング装置用のドーズ量モニター
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
JP2003124198A (ja) * 2001-10-17 2003-04-25 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2004022888A (ja) * 2002-06-18 2004-01-22 Anelva Corp 静電吸着装置
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
JP4388455B2 (ja) * 1996-03-01 2009-12-24 株式会社日立製作所 プラズマエッチング処理装置
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6716727B2 (en) * 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
KR100453891B1 (ko) * 2002-07-09 2004-10-20 삼성에스디아이 주식회사 플라즈마 디스플레이 기판 제조 방법과 제조 장치
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100672696B1 (ko) * 2004-12-23 2007-01-22 동부일렉트로닉스 주식회사 플라즈마를 이용한 반도체 소자의 세정장치 및 방법
US7691226B2 (en) * 2005-03-24 2010-04-06 Tokyo Electron Limited Electron temperature measurement method, electron temperature measurement program for implementing the method, and storage medium storing the electron temperature measurement program
KR20070011761A (ko) * 2005-07-21 2007-01-25 삼성전자주식회사 반도체 소자 제조 장치
US7715170B2 (en) * 2007-03-26 2010-05-11 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with separated electrodes

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01243358A (ja) * 1988-03-25 1989-09-28 Matsushita Electric Ind Co Ltd 不純物のイオンドーピング方法
JPH04280055A (ja) * 1990-10-10 1992-10-06 Hughes Aircraft Co イオン注入及び表面処理に関する方法及び装置
JPH10261498A (ja) * 1996-03-01 1998-09-29 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH10335310A (ja) * 1997-05-30 1998-12-18 Sony Corp 半導体素子の製造方法
JP2002522899A (ja) * 1998-08-03 2002-07-23 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド プラズマ浸漬イオン注入ドーピング装置用のドーズ量モニター
JP2001053010A (ja) * 1999-08-11 2001-02-23 Canon Sales Co Inc 不純物処理装置及び不純物処理装置のクリーニング方法
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
JP2003124198A (ja) * 2001-10-17 2003-04-25 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2004022888A (ja) * 2002-06-18 2004-01-22 Anelva Corp 静電吸着装置
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014502409A (ja) * 2010-11-17 2014-01-30 アクセリス テクノロジーズ, インコーポレイテッド ゲルマニウムおよびホウ素イオン注入のための複合ガスの利用(implementation)
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置

Also Published As

Publication number Publication date
KR100855002B1 (ko) 2008-08-28
US20080289576A1 (en) 2008-11-27

Similar Documents

Publication Publication Date Title
KR100855002B1 (ko) 플라즈마 이온 주입시스템
KR102098698B1 (ko) 플라즈마 처리 장치
US11688586B2 (en) Method and apparatus for plasma processing
KR101465542B1 (ko) 강화된 전하 중성화를 구비한 플라즈마 공정 및 공정 제어
US8323414B2 (en) Particle removal apparatus and method and plasma processing apparatus
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
JP2016225376A (ja) プラズマ処理装置およびプラズマ処理方法
WO2006063035A2 (en) Plasma ion implantation system with axial electrostatic confinement
US20230067046A1 (en) Voltage pulse time-domain multiplexing
US20040094400A1 (en) Method of processing a surface of a workpiece
KR20180063359A (ko) 원자 정밀도 에칭을 위한 플라즈마 밀도, 라디칼 조성, 및 이온 에너지에 대한 독립적인 제어를 갖는 저 전자 온도 에칭 챔버
KR102461902B1 (ko) 작업물 프로세싱을 위한 저 입자 용량 결합 컴포넌트들
CN116097393A (zh) 用于等离子体处理应用的脉冲电压源
KR20190035577A (ko) 플라즈마 처리 장치
TWI734755B (zh) 處理設備及處理襯底的方法
KR20080028898A (ko) 저압력 플라즈마를 점화시키는 방법 및 장치
KR20200051487A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2019192923A (ja) プラズマ処理装置およびプラズマ処理方法
US11984306B2 (en) Plasma chamber and chamber component cleaning methods
KR20040063285A (ko) 플라즈마 발생 방법 및 그 장치
CN118202439A (zh) 等离子体反应器中电极的离子能量控制
KR100469552B1 (ko) 플라즈마 표면 처리 장치 및 방법
KR100633167B1 (ko) 기판처리장치와 기판처리방법
KR20240090877A (ko) 플라즈마 반응기 내의 전극들에 대한 이온 에너지 제어

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120619