JP2007535174A - 光学照射を用いた接合形成装置及び方法 - Google Patents

光学照射を用いた接合形成装置及び方法 Download PDF

Info

Publication number
JP2007535174A
JP2007535174A JP2007510839A JP2007510839A JP2007535174A JP 2007535174 A JP2007535174 A JP 2007535174A JP 2007510839 A JP2007510839 A JP 2007510839A JP 2007510839 A JP2007510839 A JP 2007510839A JP 2007535174 A JP2007535174 A JP 2007535174A
Authority
JP
Japan
Prior art keywords
semiconductor
annealing
light source
dopant
approximately
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2007510839A
Other languages
English (en)
Inventor
ダウニー,ダニエル,エフ.
アレバロ,エドウィン,エイ.
レウエル, ビー. リーバート,
Original Assignee
バリアン・セミコンダクター・イクイップメント・アソシエーツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バリアン・セミコンダクター・イクイップメント・アソシエーツ・インコーポレーテッド filed Critical バリアン・セミコンダクター・イクイップメント・アソシエーツ・インコーポレーテッド
Publication of JP2007535174A publication Critical patent/JP2007535174A/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

少なくとも1つのドーパントで半導体をドーピングする段階と、半導体のアニーリングステージの前、それと同時、且つ/又はその後に、半導体を光源に暴露する段階とを含んだ方法及びシステムを開示する。このアニーリングステージは、アニーリング局面及び/又は活性化局面を含むことができ、これらは概ね同時に実行できる。このシステムは、少なくとも1つのドーパントを半導体に供給するための少なくとも1つのドーピング装置と、アニーリングステージを実行するための少なくとも1つのアニーリング装置と、少なくとも1つの光源とを含むことができ、アニーリングステージの前、それと同時、且つ/又はその後に、半導体を光源からの光に暴露する。

Description

関連出願
関連出願への引用
本願は、2004年4月26日付けで出願された、「光学照射を用いた接合形成装置及び方法」と題した米国特許出願第10/832,972号に関連し、その利益を主張する。
(1)技術分野
本開示の方法及びシステムは、一般に、ドーパント拡散及び活性化の制御に関し、より詳細には、光学照射を用いたドーパント拡散及び活性化の制御に関する。
(2)背景技術
従来のイオンドーピング・システムは、ホウ素などのドーパント材料をイオン化する段階と、これらイオンを加速して所定のエネルギーレベルを備えたイオンビームを形成する段階と、このイオンビームエネルギーを半導体表面すなわちウェハに向けて照射し、ドーパント材料を半導体に導入し且つ半導体の導電率特性を変化させる段階とを含む。イオンが半導体の結晶格子内に一旦埋め込まれると、これらイオンは、例えば急速熱アニーリング(RTA)(急速熱処理(RTP)の一種)を含む幾つかの公知の方法を用いて活性化できる。RTAの実行時には、半導体を所定温度まで且つ所定時間にわたって加熱するため、例えば熱源に曝すことができる。RTA又はそれ以外のアニーリング法によって、イオン注入が原因となる結晶構造内の欠陥を修復できる。他の方法には、炉アニーリング、電子ビームアニーリング、レーザアニーリング、及び高周波又はマイクロ波帯域における電磁界への暴露(しばしば非熱的アニーリングと呼ばれる)などが含まれる。
イオン注入及びアニーリングは、接合深さと呼ばれる注入領域の深さ決定に寄与する。イオンドーピングによるイオンの深さは、半導体にドーピングするイオンのエネルギーと、ドーピングするイオンの原子量又は分子量とに基づく。浅いドープ領域は低エネルギーイオンビームを用いて形成でき、好適には、原子量又は分子量が軽いものより原子量又は分子量が重いイオンドーパントを用いて形成できる。残念ながら、半導体ウェハの温度上昇を伴う伝統的なアニーリング方法は、概してドープ領域の拡散を引き起こし、結果として注入直後のドーパント分布域における接合深さを増加させてしまう。
より小型のデバイスと、従ってより浅い接合とに対する絶え間ない又増大し続ける要求を考慮した場合、接合深さの増加は特にやっかいな問題である。
発明の概要
1つ又は複数のドーパントで半導体をドーピングする段階と、該半導体のアニーリングステージの前、それと同時、且つ/又はその後に、1つ又は複数の光源に該半導体を暴露する段階とを含んだ方法及びシステムを開示する。前記アニーリングステージは、アニーリング局面及び/又は活性化局面を含むことができ、これらは概ね同時に実行できる。更に、前記暴露は前記アニーリングステージの一部において或いはそれ以上にわたって実行でき、前記暴露は、その過程で前記光源の波長を変化させる段階を含むことができる。
一実施形態では、前記半導体を前記アニーリングステージの第1部分の間に第1光学波長に暴露でき、更に、前記アニーリングステージの第2(或いはそれ以上の)部分の間に第2(或いはそれ以上の)光学波長に暴露できる。従って、前記暴露は、温度上昇及び/又は温度下降期間の一部において実行できる。前記1つ又は複数の光源は、1つ又は複数のレーザ、1つ又は複数のレーザダイオード、及び/又は1つ又は複数のランプを含むことができ、実施形態によっては、可変波長光源を含むことができる。可変波長光源は、前記暴露に使用できる1つ又は複数の第1波長範囲と、前記アニーリングステージに使用できる1つ又は複数の第2波長範囲とを含むことができる。前記1つ又は複数の光源が複数の波長範囲を備えた光を照光するランプを含みうる一実施形態では、該複数の波長範囲の1つ又は複数を選択するための光学フィルタに該ランプを接続できる。
実施形態によっては、前記1つ又は複数の光源が、実質的に概ね200ナノメートルと概ね1100ナノメートルとの間の波長範囲を備えた光を発生し、他の実施形態では、前記1つ又は複数の光源が、実質的に概ね300ナノメートルと概ね800ナノメートルとの間の波長範囲を備えた光を発生する。更に、前記アニーリングは前記1つ又は複数の光源により実行できる。
開示した方法及びシステムの幾つかにおいて、半導体は複数の半導体領域を含むことができ、前記暴露は、前記1つ又は複数の光源が発生する光を前記複数の領域の1つ又は複数に向ける段階を含む。光を向ける前記段階は、前記半導体を前記1つ又は複数の光源に対して並進移動させる段階を含み、該並進移動は、該半導体をXYテーブルのような可動プロットフォーム上に配置することにより実行できる。幾つかの実施形態では、光を向ける前記段階は、前記1つ又は複数の光源を前記半導体に対して並進移動させる段階を含むことができ、該並進移動は、例えば前記半導体に対する前記1つ又は複数の光源の配向を変化させる段階を含む。一実施形態では、光を向ける前記段階は、当業者に公知の方法を用いて、前記1つ又は複数の光源を前記半導体の表面の少なくとも一部に走査させる段階を含むことができる。
光源にもよるが、前記の1つ又は複数の光源は制御した形状の照射を発生でき、従って前記半導体を暴露する前記段階は、前記半導体を該制御した形状の照射で走査する段階を含むことができる。前記制御した形状は線又は長方形でよい。又、前記暴露は、前記1つ又は複数の光源と前記半導体との間の入射角を制御する段階を含むこともできる。
開示した方法及びシステムの幾つかの実施形態では、前記アニーリングステージは1つ又は複数の熱源により実行でき、前記半導体は複数の半導体領域を含むことができ、更に、前記アニーリングは、該1つ又は複数の熱源が発生した放射物を前記複数の半導体領域のうち少なくとも1つに向ける段階を含むことができる。前記1つ又は複数の熱源は、1つ又は複数のレーザ、1つ又は複数のレーザダイオード、及び/又は1つ又は複数のランプを含むことができる。
前記光学装置と同様に、前記半導体を、XYテーブルのような可動テーブルを用いて前記1つ又は複数の熱源に対して並進移動させることができ、且つ/又はその逆も可能であり、且つ/又は前記1つ又は複数の熱源を、前記表面の少なくとも一部に(電子的、機械的、或いはその他の方式で)例えば線又は長方形のような制御したパターンで走査可能である。
開示した方法及びシステムは、前記半導体の特性及び前記1つ又は複数ドーパントの特性に基づいて波長を決定する段階と、前記1つ又は複数の光源が該決定した波長を発生するように前記1つ又は複数の光源を選択する段階とを含むことができる。前記半導体の特性は前記半導体の化学組成を含むことができ、前記1つ又は複数ドーパントの特性は該ドーパントの化学組成を含むことができる。
幾つかの実施形態では、前記アニーリングは、前記半導体を第1温度まで加熱する段階と、前記半導体を該第1温度より高温の第2温度まで加熱する段階とを含むことができる。従って、開示した方法及びシステムにおける前記アニーリングステージは、急速熱アニーリング(RTP)、固相成長法(SPE)、及び/又はフラッシュ急速熱アニーリングのうち少なくとも1つを含むことができるが、こうした例は例示目的で示したものであって限定する意図はないことは理解できるはずである。前記アニーリングステージでは、開示した方法及びシステムは、前記半導体を、実質的に概ね500℃から概ね1400℃範囲の温度に暴露する段階を含み、こうした暴露は、実質的に概ね1ナノ秒から概ね90分の期間にわたり実行されることも理解できるはずである。更に、開示した方法及びシステムでは、前記アニーリングステージは、1つ又は複数の電磁界、1つ又は複数のレーザ、1つ又は複数のレーザダイオード、1つ又は複数のランプ、1つ又は複数の高温ガス、1つ又は複数の炉、1つ又は複数のホットプレート、1つ又は複数の急速熱アニーリング装置、1つ又は複数の炭素放射加熱器、及び/又は1つ又は複数のクオーツハロゲンランプの使用を含む。
実施形態によっては、前記1つ又は複数ドーパントは、1つ又は複数のハロゲン族を含みうる元素の1つ又は複数のイオン種を含むことができ、例えばボロン、フッ素、ゲルマニウム、シリコン、リン、及びヒ素のうち1つ又は複数のイオン種を含むことができる。更に、前記ドーピングはビームライン注入、プラズマドーピング(PLAD)、パルス・プラズマドーピング(原語:pulsed
plasma doping)(PLAD)、プリアモルファス化注入、及び/又はドープ堆積層(原語:doped deposited
layer)により実行できる。
一実施形態では、ドーピングする前記段階は、前記ドーパントに基づいて酸素含有量を制御する段階を含み、ここでは、該酸素含有量は、実質的に概ね1ppmと概ね1000ppmとの間とすることができる。
開示した方法に従ったシステムも開示されており、該システムは、少なくとも1つのドーパントを半導体に供給するための1つ又は複数のドーピング装置と、アニーリングステージを実行するための1つ又は複数のアニーリング装置と、1つ又は複数の光源とを含み、該アニーリングステージの前、それと同時、且つ/又はその後に、該半導体を該1つ又は複数の光源からの光に暴露する。本明細書に記載したように、前記1つ又は複数のアニーリング装置及び前記1つ又は複数の光源は同一の装置としてもよく、且つ、複数波長範囲のうち1つ又は複数を選択するための1つ又は複数の光学フィルタに接続可能な1つ又は複数のレーザ、1つ又は複数のレーザダイオード、及び/又は1つ又は複数のランプを含むことができる。
他の目的及び利点は、明細書及び図面を考慮すれば明らかになるはずである。
全体的な理解を可能とするため、幾つかの例示的な実施形態を次に説明するが、通常の技能を備えた当業者であれば、本明細書に記載されたシステム及び方法を変更及び修正して、他の適切な応用例となるシステム及び方法を提供できることや、それ以外の追加及び修正が、本明細書に記載されたシステム及び方法の範囲から逸脱することなく可能であることは理解するはずである。
特に指定されていない場合は、図示した実施形態は、特定実施形態の様々な細部の例示的な特徴を提供するものであり、従って、図示した内容又は処理の特徴、構成要素、モジュール、及び/又は局面は、開示したシステム又は方法から逸脱することなく、それ以外の方法で組み合わせ、分離、交換、及び/又は再構成可能である。
半導体ウェハを製造するための伝統的な方法には、ウェハにドーパントイオンをドーピングし、続いてアニーリングステージ処理を行うものがある。イオンドーピング時には、加速されエネルギーを付与されたドーパントイオンがホスト物質(本明細書では例示的なシリコン表面をいう)に衝突して、シリコン原子を元々の格子位置から変位させる際に、ドープ領域が損傷を受けることがある。ドーパントイオンは、シリコン格子内で高エネルギーの非平衡位置を占めることがあるが、電気的に活性ではない。アニーリング処理は、シリコン及びドーパントイオンにエネルギーを与え、イオンを平衡位置まで移動させ、結果として、結晶配列を復元することによりドーピング損傷を修復可能である。この処理時に、ドーパントイオンは電気的に活性化されて、基板の伝導率を変化させる。残念ながら、半導体を摂氏500度乃至1400度範囲の高温に暴露する急速熱アニーリング(RTA)のような幾つかのアニーリング技法は、しばしばドーパントの再分布又は拡散の原因ともなってしまう。スパイクアニール、インパルスアニール、フラッシュ・アシストアニール、及び/又はレーザーアニールを含むある種のRTAは、一定の条件下で且つ様々なウェハ及び/又はドーパントに関して、許容可能な活性化率を達成したり、ドーパント拡散深さプロファイルを減少させたりできる、にもかかわらずRTAや他の種類のアニーリング技法は、一定のドーパントドーズでは、接合深さを例えば注入直後の範囲と比べて有意に深くしてしまうことがある。
開示した方法及びシステムは、半導体を光源の出力に暴露し(以降、「光源に暴露する」という)、こうした暴露は、アニーリングステージ処理の前に、それと同時に、或いはその後に実行できる。このアニーリングステージは、半導体ウェハが加熱されて結晶損傷が修復されるアニーリング局面と、ドーパントが活性化し、形成した接合を実用可能とする活性化局面とを含むことができ、様々なエネルギー源への暴露によって実行できる。アニーリングステージのアニーリング局面と活性化局面は、同一及び/又は異なる技法及び/又は方法/装置を用いて、順次に或いは同時に実行できる。光学照射を制御化学と組み合わせることによって、活性化増大効果を示す多価キャリア及び/又は励起子複合体(原語:exciton
complex)を最適化でき、更に、侵入型複合体(原語:interstitial complex)を比較的固定化できる(どちらも本明細書に引用して援用する、2001年4月16日付けの米国特許出願第09/835653号及び2002年5月9日付の米国特許出願第10/142313号を参照)。従って、開示した方法及びシステムは他の技法と組み合わせて、極浅い接合を形成できる。これら他の技法には、例えば酸素含有量を制御し且つ/又は別のイオン種をドーピングしてドーパント活性化を促進し且つ/又はドーパント拡散を低下することが含まれる。
開示した方法及びシステムは、半導体ウェハにドーパント及びイオン種を同時に、且つ/或いは、ドーピングの順序が用途により異なりうる場合は連続的にドーピングする段階を含むこともできる。このドーピング処理は、ビームライン注入、プラズマドーピング(PLAD)、又はパルス・プラズマドーピング(PLAD)のようなイオン注入処理を含みうるが、開示した方法及びシステムはこれらのドーピング技法に限定されるものではない。他の方法のなかでは、プリアモルファス化処理すなわちプリアモルファス化注入(PAI)、或いはドープ堆積層技法(例えば、その場ドーピング)などの方法をこのドーピング処理又は方法にも利用できる。図示したシステムでは、選択したドーパントはホウ素(B)でよく、イオン種はフッ素(F)でよい。開示した処理は、こうしたイオン種に基づいたイオン及び/又は分子をドーピング時に用いることで、ドーピング時及びアニーリング処理手順の実行時にイオン種に富んだ環境を生成する段階を含み、ここでは、アニーリングは一段階ドーピング又は多段階ドーピングに続いて実行できる。一実施形態では、こうしたイオン種に富んだ環境は、これらドーパントとイオン種との分子の組合せをイオンドーピングすることにより提供できる。例えば、イオンドーピングを用いて、接合を形成するためにBFを半導体に注入できる。別の例では、こうしたイオン種に富んだ環境はPLADにより達成できる。例えば、ホウ素(B)がドーパントであり、フッ素(F)がイオン種であれば、BF源を用いてPLADを実行できる。
本明細書で記載したように、このドーピング処理に続いて、マイクロ波及び/又は高周波(RF)アニーリングによるアニーリングを含む公知のアニーリング処理の何れかを実行できるが、他の熱アニーリング及び非熱的アニーリング法を用いてもよい。一般に、非熱的アニーリングとは、赤外線電磁スペクトルの熱がウェハにほとんど全く移動しないアニーリング方法を指す。非熱的アニーリングの一例としては、電磁誘導加熱(EMIH)がある。こうした非熱的アニーリングに関わる方法及びシステムの例が、「非熱的アニーリングを用いたドーパント拡散及び活性化制御」と題した、米国特許出願第10/115,211号と、「急速熱アニーリングシステム及び方法を用いた非熱的アニーリング」と題した、米国特許出願第09/996,446号とに開示されており、これらは引用して全体を本明細書に援用する。EMIHは、ファラデーの法則及びアンペアの法則を独特に応用したものと理解できる。シリコンウェハを電磁界に暴露すると、電子が誘導されウェハ内を流れる。こうした電子が格子に衝突する際に、シリコンウェハを加熱するエネルギーを放出する。別法としては、非熱的アニーリングの代わりに且つ/又はそれに加えて、スパイクアニーリング、フラッシュアシスト・アニーリングなどの伝統的なRTA技法を使用してもよい。更に、ウェハのアニーリングを実行するには、「走査による熱流束処理」と題した米国特許出願第10/325,497号に記載されたものなどの走査技法、方法、及びシステムを用いることができる。又、この米国出願は内容を引用して全体を本明細書に援用する。一般に、こうした走査技法及び方法は、放射物(例えば電磁放射線)をウェハ表面の選択した部分に向ける段階を含むことができる。放射物を向けるこの段階を実行するには、例えば放射ビームをウェハ表面上で移動させればよい。これには、ウェハに対して電磁放射線源(例えばレーザ)の位置を並進移動させること、及び/又はレンズ、ミラー、導波管などの方向付け装置、或いは放射ビームを制御する他の手段を用いて、このビームをウェハ表面に走査させることが含まれる。或いは、走査技法を実行するには、例えば、XYテーブル及び/又は当業者に公知の他の並進移動装置及び機器を用いて、例えば、放射物源及び/又は放射ビームに対してウェハを変位させればよい。
ここに記載した例は半導体としてのシリコンの使用を含むが、通常の技能を備えた当業者であれば、IV族元素又はIII族及びV族物質の化合物を含む、他の周知の半導体をシリコンに加え又はその代わりに用いても良いことは理解するはずである。更に、本明細書に記載した例は、選択したドーパントとしてのホウ素の使用を含むが、アルミ、ガリウム、インジウム、リン、ヒ素、及びアンチモン、又は他のp型又はn型ドーパントを、ホウ素(B)に加え或いはその代わりに用いてもよい。更に、本明細書に記載した例は、イオン種の実例としてフッ素を含むが、17族のハロゲン族元素及び/又はハロゲン化物(フッ素、塩素、臭素、ヨウ素、アスタチン)、或いは17族又は他の族に由来する他のイオン種又は反応中間体を、開示した処理の範囲から逸脱することなく、任意選択的且つ付加的に使用できる。
本明細書で記載したように、開示した光学暴露方法及びシステムは、アニーリング時に低レベル酸素環境を制御することも含むが、こうした酸素制御法が、「アニーリング時における低レベル酸素環境の制御を用いた半導体ウェハの浅い接合形成法」と題したDowneyの米国特許第6,087,247号に記載されており、その内容は引用して全体を本明細書に援用する。上述の特許に記載されているように、アニーリング時に、酸素濃度を概ね百万分率で1000(ppm)未満の範囲の選択したレベル或いはそのレベル付近に制御できる。例えば、酸素濃度レベルは、実質的に概ね1ppmと概ね1000ppmとの間の範囲とすることができる。この酸素制御は、選択したドーパント及び/又はイオン種に基づいて決定できる。酸素制御は、所望の濃度に対する接合深さのプロファイルに基づいて実行できる。酸素濃度を制御するには、アニーリングステージを実行中のチャンバをパージ又は真空排気することで酸素を所望レベル未満に引き下げ、且つ制御した量の酸素を導入すればよい。一実施形態では、選択した酸素濃度レベルにある又はその付近の酸素含有ガスを、チャンバにバックフィル(原語:backfill)できる。又、他のガス制御技法を用いて、アニーリングチャンバ内部に所望の酸素濃度を実現することも可能である。
図1は、照射アニーリングシステム100の代表的な実施形態を示す。こうした照射アニーリングシステムは、システム100を構成する様々なモジュール/構成要素を用いてウェハに施される処理の温度、暴露時間、及び/又は暴露パターンを制御することで、スパイクアニーリング、フラッシュアシスト・アニーリング、固相成長法(SPE)アニーリング、熱走査などの公知アニーリング処理手順の何れかを実行できる。更に、光学照射アニーリングを、例えばマイクロ波源又はその他の電磁放射線源を用いて非熱的アニーリングにより実行してもよい。図示したように、半導体ウェハ102が、例えばXYテーブル106等の表面上に設置したホットプレート108上に配置される。XYテーブル106を使用すれば、本明細書に記載された熱源及び照射源に対してウェハ102を並進移動でき、従って、熱源により発生された熱をウェハ102の選択した部分に加える機構、且つ/又はウェハ102の選択した領域を光学源からの光に暴露する機構を実現する。XYテーブル106は、例えばAim Controls社製のXYテーブルを含む市販のXYテーブルでよく、電動式の並進移動機構(図示しない)及び/又はXYテーブルの可動表面の運動を制御するための制御モジュール(図示しない)を含むことができる。別法として、ウェハ102及び/又はホットプレート108を固定プラットフォーム又は表面上に設置してもよい。
ウェハ102、ホットプレート108、及び表面106はアニーリングチャンバ104内部に密閉されている。アニーリングチャンバ104は実質的に封止され、且つチャンバ104外部のガス状又は非ガス状粒子又は汚染物質に対して不浸透性を備えており、従ってこうした汚染物質がアニーリングチャンバ104に進入することを防止する。真空ポンプ(図示しない)及びガス注入装置(図示しない)をアニーリングチャンバ104に接続して、例えばアニーリングチャンバ104内のO含有量などチャンバ104内の環境条件を制御する機構を提供できる。具体的には、こうした真空ポンプ及びガス注入装置を用いて、チャンバ104内にN(及び/又は他の不活性ガス)及びOなどの所望のガスを導入できる。N(及び/又はチャンバ104内の他のガス)におけるOの所望濃度は真空ポンプを用いて制御できる。
図1には、レーザ又はレーザダイオード・アレイ光学システム110などの2次熱源も図示されており、この光学システム110を用いて、ウェハ102を加熱するためのエネルギーを供給できる(が必ずしもその必要はない)。レーザシステム110は、例えばレーザダイオード・アレイ社製の市販のレーザダイオード・アレイでよい。通常の技能を備えた当業者であれば理解できるように、ホットプレート108を用いて、ウェハ102を第1中間温度まで加熱する一方、レーザダイオード・アレイ110を用いてウェハ102を第2のより高い温度まで加熱するエネルギーを供給できる。ウェハ102を中間温度まで加熱するために他の熱源を使用してもよく、これらには、炭素放射加熱器、炉注入装置、クオーツハロゲンランプ、レーザ、ランプなどの熱的加熱源に加え、マイクロ波熱源、高周波熱源などの熱源が含まれる。同様に、ウェハ102を第2温度まで加熱するための他の熱源を用いてもよく、これらには、レーザ、フラッシュランプ、マイクロ波源、及び当業者には公知のウェハアニーリングを実行するための他のあらゆる熱源が含まれる。別法として、ホットプレート、レーザ、マイクロ波発生器、ランプなど単一の熱源を用いて、アニーリング処理を実行且つ完了するのに必要な温度までウェハを加熱してもよい。本明細書に記載したように、これら熱源の1つ又は全てからウェハに加えるエネルギー源は、例えばホットプレートを使ってウェハを加熱してウェハ102の概ね全体に加えることができ、且つ/又はXYテーブル106を用いてウェハ102を熱源に対して移動してウェハ上を走査させて加えてもよい。J.M.
Poate及びJames W. Mayer編、「半導体のレーザアニーリング(Laser Annealing of Semiconductors)」、第13章にC.
Hillにより記載されているものも含め、当業者に公知の他のアニーリング法を用いてもよい。又、この論文は本明細書で参照しその全体を援用する。
図1に示したように、レーザダイオード・アレイ110はアニーリングチャンバ104の外部に配置されているので、レーザダイオード・アレイ110及び/又はアニーリングステージ処理を促進するための他の熱源が発生するエネルギーを、チャンバ104に進入させるためにプレート114を利用でき、一方で、チャンバ104の内部をチャンバ外部の環境条件及び汚染物質から隔離できる。本明細書の実施形態では、プレート114は透明として、アレイ110などの光学熱源が発生する光をチャンバ104に進入させることができる。又、プレート114を半透明として特定の波長を備えた光をチャンバ104に進入可能としたり、不透明としてマイクロ波放射などの他種類の放射物をチャンバ104に進入可能としたりしてもよい。或いは、2次熱源をチャンバ104に直接接続し、プレート114又はチャンバ104の内部を外部から隔離する他のバリヤを不要としてもよい。
更に図1に示したように、アニーリングチャンバ104及びウェハ102の上方には光源112が配置されており、本明細書の代表的な実施形態において、この光源112はSpectra-Physics社製の型式66926キセノン連続光源のようなキセノン光源でよい。66926キセノン光源などの光学光源は、例えばそのスペクトル出力の大部分が200乃至1100ナノメートルで、例えば1000ワットの平均出力を備えた光を発生できる。所望の波長域は、カラーフィルター又は干渉フィルタなどの光学フィルタを使用して選択すればよく、更に、露光時間は電子又は機械式シャッターを使用して制御すればよい。他の光源をシステム100と共に使用してもよく、これらには、他のガスを用いたランプ、Spectra-Physics社製のもののようなレーザ装置、レーザダイオード(又はレーザダイオード・アレイ)、パルスランプ、及び/又は当業者に公知の他の光源が含まれる。ウェハの露光領域の形状を制御する光学システムは、選択した走査技法に従って使用できるが、ウェハ全体を均一に照射するよう設計してもよい。システム100と共に使用するこうした他の光源は異なるスペクトル出力及び異なる出力レベルを備えていてもよい。例えば、実施形態によっては、こうした光源が、実質的に概ね200ナノメートルから概ね1100ナノメートルまでの波長範囲の光学照射を発生できる。本明細書の他の実施形態では、光源112などの光源が発生する光学照射の光学範囲は、概ね300ナノメートル乃至800ナノメートルの間とすることができる。
ランプなどの光源112からほぼ単色の光を得るには、光学フィルタをランプ112に接続して特定の波長を備えた光をキャビティ104に進入させればよい。又、図1に示したように、光源112の出力には、光源112が発生するスペクトル出力から特定の波長を備えた照射を選択できる光学フィルタ113が接続されている。フィルタ113はモノクロメータのような調整式フィルタとすればよく、これによって光源112が実質的に可変波長光源となる。光源112により発生された後にフィルタ113によりフィルタをかけられた照射が、プレート114を介してアニーリングチャンバ104に入ると、この照射はウェハ102に向かい、本明細書で記載した様態でドーパント拡散の減少及び/又はドーパント活性化を促進する。
複数の光源をシステム100及び/又は本明細書に記載した他のシステムで使用できることと、こうした光源はそれぞれ異なる波長で且つアニーリング処理の異なる時期に照射できることは理解できるはずである。従って、1つの光源が例えばウェハ102の加熱時にそれと並行してそのウェハ102を照射する一方、ウェハ102の冷却時に他の光源(又は同じ光源)を作動させてもよい。更に、付加的な光源を使用する場合は、その付加的光源は、第1の光源が発生する照射の波長とは異なる波長で照射してよい。別法として、様々な波長で照射する能力を備えた単一の可変波長光源を、システム100及び/又は本明細書で記載した他のシステムで用いてもよい。従って、ウェハ102の加熱時に光源112はそのウェハを加熱できるが、ウェハ102の冷却時には動作を停止させたり、異なる波長でウェハ102を照射したりできる。
光源112はアニーリングチャンバ104の上方につり下げられているよう図示されているが、光源112はチャンバ104内に配置し、例えばアニーリングチャンバ104の何れかの壁部に取り付けることで、光をプレート114のような中間介在機構を介してチャンバに進入させる必要を無くしてもよい。更に、光源112を、チャンバ104の内部又は外部で、ウェハ102に対して異なる配向で配置してもよい。光源112を異なる配向で配置することで、照射光をウェハ表面の他の部分に向けることができ、これにより、ドーパントがウェハ内部で拡散する形状配置を制御する機構が提供される。特に、本明細書で記載したように、ウェハ表面に照射される光は、ドーパント拡散の度合いを減少させ、且つ/又はウェハ内でのドーパント活性化の度合いを増大させる。光源112からの照射をウェハ表面の特定部分に向けることで、特定領域のドーパント拡散の度合い及び/又はドーパント活性化の度合いが制御される。加えて、光源112(例えば、ランプ及びフィルタ、レーザ、レーザダイオードなど)の配向を調節することで、ドーパントが水平方向に拡散するように、ウェハ表面に照射を向けることができる。更に、光源112を様々に配向すれば、ウェハ102の表面に対する照射の入射角を変化させることができ、これにより、ウェハ102におけるドーパント拡散及び/又は活性化の度合いを制御する機構がもたらされる。又、XYテーブル106の並進移動によってウェハ102を光源112に対して並進移動させることで、光源112からの照射をウェハ102の選択領域/部分に向けてもよいことは理解されるはずである。すなわち、ウェハ102を光源112に対して空間的に並進移動させる一方で光源112を静止させておくことで、ウェハ102の異なる領域/部分を光源112から発せられる照射に曝すことができる。
図1に示したシステムでは、光学高温計又はライトパイプを用いて放射光を収集することによって温度測定が可能である。収集した放射光は、例えば、収集光の強さを黒体放射スペクトルに付き合わせてシリコンウェハの温度を測定するラクストロン(原語:Luxtron)モデルアナライザによって分析できる。実施形態によっては、このスペクトルを修正又はスケール変更して、シリコンの放射率に基づいて正確に温度測定を行ってもよい。当業者に公知の、その他多くの形式の光学高温計をラクストロン技術の代わりに使用できることも認識されている。
動作時には、ウェハ102をホットプレート108上に配置すると、このホットプレートが、ウェハを実行中のアニーリング処理手順の中間温度に対応する第1温度まで加熱開始する(例えば、フラッシュアニーリング処理手順の中間温度は、スパイクアニーリングの中間温度とは異なることがある)。例えばボロン(B)などのドーパントイオンをウェハに注入するPLADなどのドーピング処理を行うこともできるが、当業者なら理解できるように、こうしたドーピング処理はアニーリングステージ処理の開始以前に完了しておいてよい。光源112はウェハ内のドーパントをあらかじめ活性化させておいてもよく、その場合、光源112はアニーリングステージ処理の開始以前にプレート114を介して照射開始しておいてもよい。別法として、光源112からの照射を後のステージでウェハに当ててもよい(例えば、アニーリングステージ中、又はアニーリングステージの完了時)。又、アニーリングチャンバ104内のOレベルは、ドーパント拡散の低下及び/又はドーパント活性化の増加を促進するOレベルに調節できる。ウェハ102をその目標中間温度まで加熱する時に、例えばレーザダイオード・アレイなどの2次熱源が発生した熱をウェハ102に加えて、ウェハ102の温度を、アニーリングステージ処理を完了できる温度まで上昇させることができる。例えばウェハ材料に特定の性質や実行中のアニーリング処理手順などに依存する所定の時刻に、2次熱源によるウェハ102の加熱を中断して、ウェハを冷却させることができる。
半導体に施すアニーリングは、例えばマイクロ波発振器を用いた電磁誘導加熱などの非熱的アニーリングでよい。或いは、ウェハ102に施すアニーリングは、ホットプレート、炭素放射加熱器、炉注入装置、クオーツハロゲンランプ、1つ又は複数のレーザ、1つ又は複数のレーザダイオード・アレイ、ランプ、及び当業者には公知の他の熱源などの熱的加熱源を用いて実行するスパイクアニーリング、フラッシュアシスト・アニーリング、及び/又は別の急速熱アニーリング(RTA)などの熱的アニーリングでよい。更に、本明細書で記載したように、アニーリング技法が光源の使用に基づいている場合、且つ同一の光源を用いてドーパント拡散を低下させ且つ/又はドーパント活性化を増加させる場合、この光源は、使用する半導体及びドーパントに関して、他の波長に比べて拡散を低下させ、活性化を増加させる波長で照射を行うものとすればよい。又、ウェハ102のアニーリングは走査技法を用いて実行することも可能だが、この場合、ウェハ102を熱源に対して並進移動させ、且つ/又は熱源を並進移動させ、且つ/又はウェハに対する熱源の配向を変化させることで、且つ/又は、第1及び/又は第2熱源からの熱をウェハ表面の選択した領域に向けることで、且つ/又は熱源が発生する放射物をウェハの選択した部分にむけることによって、第1及び/又は第2熱源からの熱をウェハ表面の選択した領域に向けることができる。こうした走査技法及び/又は方法は、ウェハ102における接合の形状配置の制御を容易にする。
又、半導体ウェハ102におけるドーパント拡散の形状配置は、ビーム焦点調整装置を用いて光源112を半導体ウェハ102に対して空間的に配向することによっても制御でき、その結果、光源112から半導体ウェハ102への照射をウェハ112の選択した領域に向けることができる。結果的に、光源112からの照射をウェハ102表面の特定部分に向けることで、こうした照射は、ウェハのこれら領域でドーパント拡散の低下及び/又はドーパント活性化の増大を促進できるが、ウェハの他の領域/部分では必ずしもそうした効果をもたらさない。光源112からの照射をウェハ102の特定領域/部分に向けるのに使用できるビーム焦点調整装置は、光を集束させる光学レンズ及び/又は当業者には公知の光学焦点調整器を含むことができる。更に、ウェハ102を、光源102に対して変位させ、且つ/又はウェハ102のドーパント拡散を低下させ且つ/又はドーパント活性化を低下させるのに用いる他の光源に対して変位させることができるが、これはXYテーブル106のこうした光源に対する並進移動を制御して行えばよい。更に、光源及び/又はアニール源の形状配置を制御して、本発明の分野で公知の走査源の何れかに適合した形状を形成できる。例えば、ウェハ状を走査する線状及び/又は長方形状光源を用いて、ウェハを非常に短い持続時間のパルスに均一に暴露させることができ、その際、暴露領域の間に継ぎ目状部分を形成することもない。
通常の技能を備えた当業者であれば、図1に示した代表的なアニーリングシステムは例示的なものに過ぎず、その実装は、これら実施形態又は本明細書に記載した特徴に限定されるものでないことは理解するはずである。例えば、幾つかの実施形態では、スパイクアニーリングを使用して、半導体ウェハ102を概ね750℃から概ね1400℃範囲の温度に、10分の1(0.1)秒から概ね2秒の期間にわたり曝してもよい。他の実施形態では、このスパイクアニーリング処理は950℃から概ね1100℃範囲の温度を用いることができ、半導体ウェハをこの温度範囲に曝す時間を概ね10分の1(0.1)秒から概ね2秒の間とすればよい。スパイクアニーリングを用いるこうした実施形態では、スパイクアニーリングを行う熱は、高温ガスを発生し放出する熱源、マイクロ波エネルギーを発生し放出する機器、炉、光源(例えば、ランプ、レーザなど)、ホットプレート、グラファイト・ストリップヒータ、標準クオーツハロゲン・ヒータ、及び/又は他の熱発生源により発生させればよい。
他の実施形態では、フラッシュアニーリングを使用して、ウェハを概ね500℃から概ね1400℃範囲の温度に、概ね1ナノ秒から概ね1秒の期間にわたり曝すことができる。フラッシュアニーリングを用いるこうした実施形態では、このアニーリングを行う熱は、マイクロ波エネルギー又は発熱光源(例えば、ウェハを特定の波長帯域を備えた光学放射に暴露するランプ又はレーザなど)、及び/又は他の熱源/発生源により発生させればよい。例えば、フラッシュアニーリングはVortek
Industries社製のfRTAシステムを用いて実行できる。こうしたシステムは、ウェハを中間温度まで加熱するランプを使用し、その後、ランプが発生するフラッシュ出力として放出することで、ウェハの表面及び内部の温度を急激に高めることができる。
更に、実施形態によっては、固相成長法(SPE)アニーリングを行ってもよい。SPEアニーリングを使用する実施形態では、炉、ホットプレート、又は他の熱的アニーリング装置を用いてウェハに加える熱を供給してもよい。こうした実施形態では、ウェハを概ね500℃から概ね750℃範囲の温度に、概ね10分の1(0.1)秒から概ね10分の期間にわたり曝すことができる。SPEアニーリングを使用する他の実施形態では、マイクロ波源、又はランプ若しくはレーザなどの光源を用いてウェハに加える熱を供給してもよい。こうした実施形態では、ウェハを概ね500℃から概ね1100℃範囲の温度に、概ね1秒から概ね60秒の期間にわたり曝すことができる。
例えば、スパイクアニーリング、フラッシュアニーリング、及び/又は固相成長法アニーリングを実行する実施形態を含む本明細書で記載した実施形態では、こうした実施形態は、フィルタに接続したレーザ照射光などの少なくとも1つの光学照射源又はキセノン光源などのランプを、図1に示したシステム100に類似の様態で用いるが、熱源が光源である実施形態では、接合を形成する性能の結果を向上させる照射のための付加的な光源を含める必要はない場合がある。例えば、fRTA
Vortekシステムはウェハを加熱するためにランプを用いる。こうしたランプは、アニーリングを実行するためのウェハの加熱と、ウェハを照射して接合形成の結果(例えば、拡散低下及び活性化向上)を向上させるという2つの機能で使用できる。しかしながら、光源により加熱が行われる他の実施形態では、第2光源及び/又は付加的光源を用いて、接合形成を向上する助けとして付加的な照射を行ってもよい。例えば、1つの光源がアニーリングを補助且つ/又は促進する波長の光を発生可能とし、一方で、第2光源を用いて接合形成を向上させる助けとなる他の波長でウェハを照射可能としてもよい。例えば、ランプを用いてRTAアニーリングを行うMattson
3000 Plus RTAシステムでは、ランプが発する光のスペクトル分布は、本明細書に記載された非アニーリング光学照射を発生するには不適切となることがある。従って、接合形成性能を向上させるためにウェハを照射する第2光源が使用されることがある。
上述のように、半導体ウェハを、まず、例えばホットプレートなどの熱源を用いて中間温度まで加熱し、次に、同一の熱源或いはレーザダイオードなどの別の熱源を用いてウェハの温度をそのアニーリングに必要な温度まで上昇させてもよい。例えば、当業者であれば理解するはずだが、フラッシュアシスト・アニーリングには、ウェハを第1(例えば、「中間」)温度まで加熱し、次にウェハにフラッシュ熱を与えてウェハの温度を第2のより高温まで上昇させることが含まれる。
ドーパント活性化及び拡散率に関わる接合形成処理への光学照射の影響は、図2乃至6の2次イオン質量分析(SIMS)オーバーレイに示した。図2乃至6のSIMSオーバーレイを、入射角が60°で1.0keVのOビームを備えたPhysical
Electronics社の6600四重極SIMS測定器で分析した。図2乃至6は、シリコン基板におけるドーパント濃度に対する深さを示し、接合形成性能を向上させるために光源を用いてウェハを照射する効能を特定するのに役立つ、付加的な測定値或いは計算値も示す。それら値には次が含まれる。
1)KLA-Tencor Rs-100又はRs-35により測定したシート抵抗Rs
2)接合深さX
3)ドーパント注入処理が完了した後のウェハにおけるドーパント分布の深さ(「イオン注入直後の」接合深さと呼ばれることがある)と、アニーリングステージが完了後の接合深さとの差として計算したΔX
4)次の式で計算した活性化効率。
Figure 2007535174
上記式においてRs totalは次式で計算した。
Figure 2007535174
上記式において、diは抵抗率ρiを備えた個々のウェハ層の厚さである。ウェハの特定の層に対応した抵抗率ρは、次の実験関係式に基づいて計算する。
Figure 2007535174
上記式において、CBは対応する層におけるボロン濃度である。
特定の層の抵抗率ρは、ウェハ内の全ドーパント原子が活性化しているものと仮定して計算する。従って、活性化効率は、注入した全てのドーパント原子が活性化されているものと仮定して、ウェハの実際に測定した抵抗率と理論抵抗率との比として表現される。活性化効率は、SIMSプロファイルの最大誤差によって100%を上回る値が得られる場合があることには注意されたい。しかしながら、活性化効率値には測定誤差の可能性があるが、これらの値は、面積抵抗率(活性化効率値のようにSIMSプロファイルから導かれるが、活性化効率値とは対照的にウェハの理論面積抵抗率を考慮しない)を計算するよりも信頼性が高いと考えられる。
図2は、2つの異なるO環境濃度の存在下での接合形成性能に対するレーザ照射の効果を示す。具体的には、それぞれレーザ照射を用いた場合及び用いない場合で、N中の21%のO濃度と、N中の百万分率の100(ppm)のO濃度とに関するドーパント濃度に対する深さプロファイルを測定した。図2及び図3乃至6に示した光学照射の効果を測定するためテストした試料としては、3cm×1.5cmのシリコンウェハを用いた。図2乃至6に示した結果に関わる実験では、BFプラズマ源を使用したVarian
VIISion-80 ULE、Varian VIISta-80、又はVarian VIISTta 10 P2LADシステムの何れかを用いて、ドーパントを傾斜角0°で注入した。他のドーパント注入技法及び/又は異なる注入装置を上述の代わりに使用してもよい。光学照射の影響を調べるに当たり、試料に2.2KeV、1e15/cmのBFを注入した後、マイクロ波照射を用いて550℃で30秒間予熱し、その後、1050℃までこれもマイクロ波放射により非熱的にスパイクアニールを施した。図2に示したマイクロ波放射実験では、2.45GHzのアニーリングマイクロ波放射を発生したマイクロ波源は、赤外線、光学、或いは紫外線照射は発生しなかった。従って、唯一の光の照射源は使用した光源だけであった。図2に示した結果を得るため使用したシステムでは、使用した光源は、波長が672ナノメートルで100mWの出力を備えたレーザビームを発生する並行レーザであった。典型的な出力密度は概ね15乃至50mW/cmであった。この光学放射は上述の測定のために使用した各ウェハ試料に焦点を合わせ、各サンプルの半分が照射され、残りの半分は照射されないようにした。上述した550℃の予熱の間もレーザは動作させ、高温処理中も動作を継続させた。この実験方式の利点は、試料の照射部分にも非照射部分にも同一の熱処理が施されたため、唯一の可変部分は光学照射としたことである。
図2に示したように、ウェハへの光学照射を行ったところ、N中の環境O濃度を21%から100ppmに変化させると、活性化効率(70.3%から147.9%)とΔXj(46Åと219Å)が向上した。対照的に、レーザ照射をウェハ試料に当てなかった場合、ウェハ試料について計算した活性化効率及びΔXj値は、N中の環境O濃度を21%から100ppmに変化させたところ悪化し、結果的に、活性化効率は115.2%から62.9%まで低下する一方、ΔXj値は101Åから134Åに増大した。
図3は、N中の環境O濃度を100ppmとしたレーザ照射マイクロ波スパイクアニールに関わる接合形成性能の結果を、類似条件で実行した他の種のアニールとの比較を示す。具体的には、図3には、注入直後の2.2KeV、1e15/cmのBF注入(図3の曲線(a))と、1050℃のRTAスパイクアニール(図3の曲線(d))と、約1.2ミリ秒にわたって820℃から1250℃においてピークに達した後に820℃まで冷却した「フラッシュ」RTAアニール(図3の曲線(b))と、レーザ照射したサンプル(図3の曲線(c))とのSIMSオーバーレイを示した。図3に関わる実験で行われたRTAスパイクアニール(及び図4に関わるもの)では、Mattson
3000 Plus RTAシステムを使用した。図3に関わる実験で行われたフラッシュアシストRTAスパイクアニール(及び図6に関わるもの)では、fRTA
Vortek Industriesシステムを使用した。上述したように、図3に関して行われた照射マイクロ波スパイクアニール処理(及び図2と5に関わるもの)では、マイクロ波炉を使用した。
図3に示したように、レーザ照射した試料は、RTAスパイクアニールを施した試料に比べて拡散の度合いが低く(46Åと77Å)、活性化効率が高くなる(147.9%と110.4%)。一方、「フラッシュ」RTA試料の拡散は無視できる程度で、活性化効率は151.7%であった。RTAスパイクアニール及び「フラッシュ」RTAアニールは、熱拡散理論で予測される値に概ね一致する拡散値が得られた。しかし、レーザ照射した試料の拡散は、熱拡散理論で予測される値より低かった。
このフラッシュアニーリング処理に用いたfRTA Vortek Industriesシステムは、放射光成分の45%が672ナノメートル未満の波長を備えたランプを用い、上述のRTAスパイクアニール工程は、光学成分の10%未満が672ナノメートル未満の波長を備えた光を放射するランプを用いて行われたが、前者のシステムの方が、後者のシステムより良好な接合形成結果を示した。更に、図7は、使用したドーパントをBFとし、光学照射したマイクロ波スパイクアニール処理を1000℃で60分にわたり実行した場合に、異なる波長における光学照射が接合形成性能の結果に与える影響を比較したグラフである。グラフから分かるように、ここで使用したドーパント及び温度では、波長を560ナノメートルとした照射(図7では実線の曲線)は、波長を320ナノメートルとした照射(点線の曲線)や、照射を用いなかった場合(破線の曲線)に比べより浅い接合を形成した。図7から分かるように、波長が320ナノメートルの照射を用いた接合深さは、アニーリングステージで照射を用いなかった場合の接合深さよりも増大した。従って、図7から、半導体材料、ドーパント、アニール温度、アニール時間、及び環境条件(例えば、アニーリングチャンバ内の酸素含有量など)の所与の組合せ毎に、異なる照射波長が、異なる接合形成性能の結果をもたらすことが示唆される。
従って、アニーリング処理を最適化するには、使用する照射波長の決定が含まれる。図3で比較したフラッシュアシスト・アニーリング及びスパイクアニーリング処理に関しては、フラッシュアシスト・アニーリング処理を用いた方が、スパイクアニーリング処理よりも良好な接合形成性能の結果が得られたが、これはBFドーパントを使ってドーピングしたシリコン半導体では、短い波長の照射が良好な接合形成性能の結果を促進したことを示すものかもしれない(フラッシュアシスト・アニーリング処理における照射成分の45%は672ナノメートル未満の波長を備えていたが、スパイクアニール処理においては照射成分の10%にすぎなかった)。
図3で比較した3つのアニーリング処理手順を、ボロン(B)ドーパント及びBF ドーパントの何れかでドーピングしたウェハ試料に施した。図4は、100ppmのOを含むN雰囲気において1050℃で行ったRTAスパイクアニールのSIMSオーバーレイを示す。図示したように、BFドーパントの拡散はBドーパントに比べて低いものだったが(77Åと93Å)、活性化効率はBドーパントの方が高い結果となった(116.2%と110.4%)。
レーザ照射マイクロ波スパイクアニール処理を用いた場合と用いなかった場合の、2種類のドーパントに関わるドーパント濃度に対する接合深さを示した図5から分かるように、フッ素使用の影響は、スパイクアニールした(マイクロ波放射で加熱した)ウェハを、アニール時に動作させたレーザなどの光学源で照射するとより顕著となった。図5に示したように、BF を使用した試料中に形成された接合は、Bドーパントを使用した試料よりもかなり浅くなり、後者の153Åに比べて46Åしか拡散しなかった。更に、活性化効率は、Bを使用した試料(86.9%)に比べてBF を使用した試料(147.9%)の方がかなり高くなった。
図6は、フラッシュRTAの、500eV、1e15/cmのBドーパント及び1e15/cm、2.2KeVのBFドーパントへの影響を示す。図6に関して行われた実験では、フラッシュは1.2ミリ秒パルスを使って820℃から1250℃でピークに達し、その後820℃まで冷却した。図6に示したように、フラッシュRTAスパイクアニールを行ったところドーパントイオンはほとんど拡散しなかったが(すなわち、B及びBF ドーパントの両方に関し、フラッシュRTA処理のXj値は1となった)、B試料の124.4%という活性化効率に比べ、BF 試料の活性化効率は151.3%と高くなった。
従って、図2乃至6は、アニーリング処理において光学照射を用いれば接合深さを浅くし、活性化効率の向上に貢献することを示している。具体的には、光学照射がアニーリング処理自体に元々含まれていてもいなくても(ランプを用いてフラッシュアシスト・アニーリングを行うVortek
fRTAシステムのようには)、独立した付加的光源をアニーリングシステムに付加してもいなくても、半導体ウェハの活性化効率の向上と接合深さの減少という形で接合形成性能の結果が向上する。
これまで説明したのは、少なくとも1つのドーパントで半導体をドーピングする段階と、半導体のアニーリングステージの前、それと同時、且つ/又はその後に、半導体を1つ又は複数の光源に暴露する段階とを含む方法及びシステムである。このアニーリングステージは、アニーリング局面及び/又は活性化局面を含むことができ、これらは概ね同時に実行できる。このシステムは、少なくとも1つのドーパントを半導体に供給するための少なくとも1つのドーピング装置と、アニーリングステージを実行するための少なくとも1つのアニーリング装置と、少なくとも1つの光源とを含むことができ、アニーリングステージの前、それと同時、且つ/又はその後に、半導体を光源からの光に暴露する。
本明細書に記載した方法及びシステムは、特定のハードウェア又はソフトウェア構成に限定されるものでなく、多くの計算環境又は処理環境で応用可能である。これら方法及びシステムは、ハードウェア又はソフトウェア、或いはハードウェアとソフトウェアの組合せによっても実現可能である。これら方法及びシステムは、プロセッサ、そのプロセッサが読み出し可能な記憶媒体(揮発性及び不揮発性記憶装置、並びに/或いは記憶素子)、1つ又は複数の入力装置、及び1つ又は複数の出力装置をそれぞれ含んだ、1つ又は複数のプログラム可能コンピュータで実行する1つ又は複数のコンピュータプログラムで実施可能である。
特に記載した場合を除き、「実質的に」という言葉は、厳密な関係、条件、構成、配向、及び/又は他の特徴並びに変更を含むが、こうした変更は、通常の技能を備えた当業者の理解では開示した方法及びシステムに著しく影響しない程度のものを言う。
本開示全体にわたり、冠詞「一つの(原語:a)」又は「一つの(原語:an)」を用いて名詞を修飾したが、特に記載した場合を除き、こうした冠詞の使用は便宜的なものであって1つ又は複数の修飾された名詞を含むものと理解できる。
他のものと連通し、関連付けられ、且つ/或いは取り付けられたように図面に示し且つ/或いは描写された要素、構成要素、モジュール、及び/又はそれらの部材は、特に断りがない限り、直接的且つ/或いは間接的に連通し、関連付けられ、且つ/或いは取り付けられているものと理解すべきである。
これらの方法及びシステムは、特定の実施形態に関連して説明してきたが、それに限定されない。言うまでもなく、上述の教示を参考にすれば、多くの修正及び変更が可能なことが明らかとなることもあろう。例えば、上述のように、図示した実施形態では選択したp型ドーパントとしてのホウ素(B)を、選択したイオン種であるフッ素(F)と共に使用することを説明したが、これら方法及びシステムは、他のイオン種や他のp型及びn型ドーパントにも適用できる。例示的な実施形態は、例えば100ppmの酸素レベルとした酸素制御アニーリングチャンバを含むことができるが、通常の技能を備えた当業者であれば、この制御酸素量はドーパントに基づいて変更可能であり、例えば、1ppm乃至1000ppmの範囲をとりうることは理解するはずである。
当業者であれば、本明細書で説明し図示した部材の詳細、材料、及び配列に多くの付加的変更を行うことができる。従って、次の特許請求の範囲は、本明細書に開示された実施形態に限定されるものではなく、具体的に記載されたものとは異なる様態で実行可能であって、法律によって許された最大の範囲で解釈されるべきである。
光学照射アニーリングを実行するためのシステム及び方法の一実施形態である。 レーザ照射マイクロ波スパイクアニール処理の使用による、異なるO濃度におけるドーパント濃度に対する接合深さのグラフである。 3種類のアニーリング処理の使用による、BFドーパント濃度に対する接合深さのグラフである。 スパイクアニーリング処理の使用による、2種類のドーパントに関するドーパント濃度に対する接合深さのグラフである。 レーザ照射マイクロ波スパイクアニーリング処理の使用による、2種類のドーパントに関するドーパント濃度に対する接合深さのグラフである。 フラッシュアニール処理の使用による、2種類のドーパントに関するドーパント濃度に対する接合深さのグラフである。 3つの異なる波長照射に関する、BFドーパント濃度に対する接合深さのグラフである。

Claims (95)

  1. 半導体を少なくとも1つのドーパントでドーピングする段階と、
    前記半導体のアニーリングステージの前、それと同時、及びその後の少なくとも何れかにおいて、前記半導体を少なくとも1つの光源に暴露する段階と、を含んだ方法。
  2. 前記アニーリングステージが、アニーリング局面及び活性化局面の少なくとも一方を含む、請求項1に記載の方法。
  3. 前記アニーリング局面及び前記活性化局面が実質的に同時に実行される、請求項2に記載の方法。
  4. 暴露する前記段階が前記アニーリングステージの少なくとも一部分において行われる、請求項1に記載の方法。
  5. 暴露する前記段階が、該暴露の経過と共に波長を変化させる段階を更に含む、請求項1に記載の方法。
  6. 暴露する前記段階が、
    前記半導体を、前記アニーリングステージの少なくとも第1部分の間に第1光学波長に暴露する段階と、
    前記半導体を、前記アニーリングステージの少なくとも第2部分の間に、少なくとも1つの第2光学波長に暴露する段階とを更に含む、請求項1に記載の方法。
  7. 暴露する前記段階が、温度上昇及び温度下降の一方の少なくとも一部分において行われる、請求項1に記載の方法。
  8. 前記少なくとも1つの光源が、レーザ、レーザダイオード、及びランプのうち少なくとも1つを含む、請求項1に記載の方法。
  9. 前記少なくとも1つの光源が可変波長光源を含む、請求項1に記載の方法。
  10. 前記可変波長光源が、前記暴露のための少なくとも1つの第1波長範囲と、前記アニーリングステージのための少なくとも1つの第2波長範囲とを含む、請求項9に記載の方法。
  11. 前記少なくとも1つの光源が複数の波長範囲を備えた光を照光するランプを含み、該ランプが、該複数の波長範囲のうち少なくとも1つを選択するための光学フィルタに接続されている、請求項1に記載の方法。
  12. 前記少なくとも1つの光源が、実質的に概ね200ナノメートルと概ね1100ナノメートルとの間の波長範囲を備えた光を発生する、請求項1に記載の方法。
  13. 前記少なくとも1つの光源が、実質的に概ね300ナノメートルと概ね800ナノメートルとの間の波長範囲を備えた光を発生する、請求項1に記載の方法。
  14. 前記アニーリングが前記少なくとも1つの光源により実行される、請求項1に記載の方法。
  15. 前記半導体が複数の半導体領域を含み、暴露する前記段階が、前記少なくとも1つの光源が発生する光を前記複数の領域のうち少なくとも1つに向ける段階を含む、請求項1に記載の方法。
  16. 向ける前記段階が、前記半導体を前記少なくとも1つの光源に対して並進移動させる段階を含む、請求項15に記載の方法。
  17. 前記半導体が可動プラットフォーム上に設置され、並進移動させる前記段階が該可動プラットフォームにより実行される、請求項16に記載の方法。
  18. 前記可動プラットフォームがXYテーブルを含む、請求項17に記載の方法。
  19. 向ける前記段階が、前記少なくとも1つの光源を前記半導体に対して並進移動させる段階を含む、請求項15に記載の方法。
  20. 向ける前記段階が、前記少なくとも1つの光源の配向を前記半導体に対して変化させる段階を含む、請求項15に記載の方法。
  21. 向ける前記段階が、前記少なくとも1つの光源を前記半導体の表面の少なくとも一部に走査させる段階を含む、請求項15に記載の方法。
  22. 前記少なくとも1つの光源が制御された形状の光を発生し、暴露する前記段階が、前記半導体を該制御された形状を備えた該光で走査する段階を含む、請求項1に記載の方法。
  23. 前記制御された形状が線及び長方形のうち少なくとも一方を含む、請求項22に記載の方法。
  24. 暴露する前記段階が、前記少なくとも1つの光源と前記半導体との間の入射角を制御する段階を含む、請求項1に記載の方法。
  25. 前記アニーリングステージが少なくとも1つの熱源により実行され、
    前記半導体が複数の半導体領域を含み、
    前記アニーリングが、前記少なくとも1つの熱源が発生した放射物を、前記複数の半導体領域のうち少なくとも1つに向ける段階を含む、請求項1に記載の方法。
  26. 前記少なくとも1つの熱源が、レーザ、レーザダイオード、及びランプのうち少なくとも1つを含む、請求項25に記載の方法。
  27. 向ける前記段階が、前記半導体を前記少なくとも1つの熱源に対して並進移動させる段階を含む、請求項25に記載の方法。
  28. 前記半導体が可動プラットフォーム上に設置され、並進移動させる前記段階が該可動プラットフォームにより実行される、請求項27に記載の方法。
  29. 前記可動プラットフォームがXYテーブルを含む、請求項28に記載の方法。
  30. 向ける前記段階が、前記少なくとも1つの熱源を前記半導体に対して並進移動させる段階を含む、請求項25に記載の方法。
  31. 向ける前記段階が、前記少なくとも1つの熱源の配向を前記半導体に対して変化させる段階を含む、請求項25に記載の方法。
  32. 前記少なくとも1つの熱源が制御された形状の放射物を発生し、暴露する前記段階が、前記半導体を該制御された形状を備えた該放射物で走査する段階を含む、請求項25に記載の方法。
  33. 前記制御された形状が線及び長方形のうち少なくとも一方を含む、請求項32に記載の方法。
  34. 暴露する前記段階が、前記少なくとも1つの熱源と前記半導体との間の入射角を制御する段階を含む、請求項25に記載の方法。
  35. 向ける前記段階が、前記少なくとも1つの熱源を前記半導体の表面の少なくとも一部に走査させる段階を含む、請求項25に記載の方法。
  36. 前記半導体の特性及び前記少なくとも1つのドーパントの特性に基づいて波長を決定する段階と、前記少なくとも1つの光源が該決定した波長を発生するように前記少なくとも1つの光源を選択する段階とを含む、請求項1に記載の方法。
  37. 前記半導体の前記特性が前記半導体の化学組成を含む、請求項36に記載の方法。
  38. 前記少なくとも1つのドーパントの前記特性が前記少なくとも1つのドーパントの化学組成を含む、請求項36に記載の方法。
  39. 前記アニーリングが、
    前記半導体を第1温度まで加熱する段階と、
    前記半導体を前記第1温度より高温の第2温度まで加熱する段階とを含む、請求項1に記載の方法。
  40. 前記アニーリングステージが、急速熱アニーリング(RTA)、固相成長法(SPE)、及びフラッシュ急速熱アニーリングのうち少なくとも1つを実行する段階を含む、請求項1に記載の方法。
  41. 前記アニーリングステージが、前記半導体を実質的に概ね500℃と概ね1400℃との間の温度範囲の温度に曝す段階を含む、請求項1に記載の方法。
  42. 曝す前記段階は、実質的に概ね1ナノ秒と概ね90分との間の期間にわたり実行される、請求項41に記載の方法。
  43. 前記アニーリングステージが、電磁界、レーザ、レーザダイオード、ランプ、少なくとも1つの高温ガス、炉、ホットプレート、急速熱アニーリング装置、炭素放射加熱器、及びクオーツハロゲンランプのうち少なくとも1つを使用する段階を含む、請求項1に記載の方法。
  44. 前記少なくとも1つのドーパントが少なくとも1つのイオン種を含む、請求項1に記載の方法。
  45. 前記イオン種がハロゲンを含む、請求項44に記載の方法。
  46. 前記イオン種が、ホウ素、フッ素、ゲルマニウム、シリコン、リン、及びヒ素のうち少なくとも1つのイオンを含む、請求項45に記載の方法。
  47. ドーピングする前記段階が、ビームライン注入、プラズマドーピング(PLAD)、パルス・プラズマドーピング(PLAD)、プリアモルファス化注入、及びドープ堆積層のうち少なくとも1つを含む、請求項1に記載の方法。
  48. ドーピングする前記段階が、前記ドーパントに基づいて酸素含有量を制御する段階を含む、請求項1に記載の方法。
  49. ドーピングする前記段階が、酸素含有量を実質的に概ね1ppmと概ね1000ppmとの間に制御する段階を含む、請求項1に記載の方法。
  50. 少なくとも1つのドーパントを半導体に供給する少なくとも1つのドーピング装置と、
    アニーリングステージを実行するための少なくとも1つのアニーリング装置と、
    少なくとも1つの光源とを含むシステムであって、
    前記アニーリングステージの前、それと同時、及びその後の少なくとも何れかにおいて、前記半導体が前記少なくとも1つの光源に暴露される、システム。
  51. 前記少なくとも1つの光源が、レーザ、レーザダイオード、及びランプのうち少なくとも1つを含む、請求項50に記載の方法。
  52. 前記少なくとも1つの光源が複数の波長範囲を備えた光を照光するランプを含み、該ランプが、該複数の波長範囲のうち少なくとも1つを選択するための光学フィルタに接続されている、請求項50に記載のシステム。
  53. 前記少なくとも1つの光源が、実質的に概ね200ナノメートルと概ね1100ナノメートルとの間の波長範囲を備えた光を発生する、請求項50に記載のシステム。
  54. 前記少なくとも1つの光源が、実質的に概ね300ナノメートルと概ね800ナノメートルとの間の波長範囲を備えた光を発生する、請求項50に記載のシステム。
  55. 前記少なくとも1つのアニーリング装置が前記少なくとも1つの光源である、請求項50に記載のシステム。
  56. 前記半導体が複数の半導体領域を含み、前記少なくとも1つの光源が光を前記複数の領域のうち少なくとも1つに向ける段階を含む、請求項50に記載のシステム。
  57. 前記半導体が、前記少なくとも1つの光源に対して並進移動される、請求項56に記載のシステム。
  58. 前記半導体が可動プラットフォーム上に設置され、前記並進移動が該可動プラットフォームにより実行される、請求項57に記載のシステム。
  59. 前記可動プラットフォームがXYテーブルを含む、請求項58に記載のシステム。
  60. 前記少なくとも1つの光源の配向を前記半導体に対して変化させる、請求項56に記載のシステム。
  61. 前記少なくとも1つの光源を前記半導体の表面の少なくとも一部に走査させる、請求項50に記載のシステム。
  62. 前記半導体が制御された形状を備えた前記光で走査される、請求項50に記載のシステム。
  63. 前記制御された形状が線及び長方形のうち少なくとも一方を含む、請求項62に記載のシステム。
  64. 前記少なくとも1つの光源と前記半導体との間の入射角を制御するための光学コントローラを更に含む、請求項50に記載のシステム。
  65. 前記半導体が複数の半導体領域を含み、前記少なくとも1つのアニーリング装置が、該アニーリング装置により発生された放射物を前記複数の半導体領域のうち少なくとも1つに向ける、請求項50に記載のシステム。
  66. 前記少なくとも1つのアニーリング装置が、レーザ、レーザダイオード、及びランプのうち少なくとも1つを含む、請求項65に記載のシステム。
  67. 前記半導体が、前記少なくとも1つのアニーリング装置に対して並進移動される、請求項65に記載のシステム。
  68. 前記半導体が可動プラットフォーム上に設置され、前記並進移動が該可動プラットフォームにより実行される、請求項67に記載のシステム。
  69. 前記可動プラットフォームがXYテーブルを含む、請求項68に記載のシステム。
  70. 前記少なくとも1つのアニーリング装置が前記半導体に対して並進移動される、請求項65に記載のシステム。
  71. 前記少なくとも1つのアニーリング装置の配向を前記半導体に対して変化させる、請求項65に記載のシステム。
  72. 前記半導体が制御された形状を備えた放射物で走査される、請求項65に記載のシステム。
  73. 前記制御された形状が線及び長方形のうち少なくとも一方を含む、請求項72に記載のシステム。
  74. 前記少なくとも1つのアニーリング装置と前記半導体との間の入射角を制御するためのアニーリング装置コントローラを更に含む、請求項65に記載のシステム。
  75. 前記少なくとも1つの光源が前記半導体の表面の少なくとも一部を走査する、請求項65に記載のシステム。
  76. 前記少なくとも1つの光源が、前記半導体の特性及び前記少なくとも1つのドーパントの特性に基づいた波長を発生する、請求項50に記載のシステム。
  77. 前記半導体の前記特性が前記半導体の化学組成を含む、請求項76に記載のシステム。
  78. 前記少なくとも1つのドーパントの前記特性が前記ドーパントの化学組成を含む、請求項76に記載のシステム。
  79. 前記少なくとも1つのアニーリング装置が、半導体を第1温度まで加熱し、前記半導体を該第1温度より高温の第2温度まで加熱する、請求項50に記載のシステム。
  80. 前記少なくとも1つのアニーリング装置が、急速熱アニーリング(RTA)、固相成長法(SPE)、及びフラッシュ急速熱アニーリングのうち少なくとも1つを実行する、請求項50に記載のシステム。
  81. 前記少なくとも1つのアニーリング装置が、前記半導体を実質的に概ね500℃と概ね1400℃との間の温度範囲の温度に暴露する、請求項50に記載のシステム。
  82. 前記半導体が、実質的に概ね1ナノ秒と概ね90分との間の期間にわたり前記温度に曝される、請求項81に記載のシステム。
  83. 前記少なくとも1つのアニーリング装置が、電磁界、レーザ、レーザダイオード、ランプ、少なくとも1つの高温ガス、炉、ホットプレート、急速熱アニーリング装置、炭素放射加熱器、及びクオーツハロゲンランプのうち少なくとも1つを含む、請求項50に記載のシステム。
  84. 前記少なくとも1つのドーピング装置が、ビームライン注入、プラズマドーピング(PLAD)、パルス・プラズマドーピング(PLAD)、プリアモルファス化注入、及びドープ堆積層を実行可能な少なくとも1つの装置を含む、請求項50に記載のシステム。
  85. 前記少なくとも1つのドーパントが少なくとも1つのイオン種を含む、請求項50に記載のシステム。
  86. 前記少なくとも1つのイオン種がハロゲンを含む、請求項85に記載のシステム。
  87. 前記少なくとも1つのイオン種が、ホウ素、フッ素、ゲルマニウム、シリコン、リン、及びヒ素のうち少なくとも1つのイオンを含む、請求項86に記載のシステム。
  88. 前記システムにおける酸素含有量を前記ドーパントに基づいて制御するための酸素レベルコントローラを更に含む、請求項50に記載のシステム。
  89. 前記システムにおける酸素含有量を実質的に概ね1ppmと概ね1000ppmとの間に制御するための酸素レベルコントローラを更に含む、請求項50に記載のシステム。
  90. 前記アニーリングステージが、アニール局面及び活性化局面の少なくとも一方を含む、請求項50に記載のシステム。
  91. 前記アニール局面及び前記活性化局面が実質的に同時に実行される、請求項90に記載のシステム。
  92. 前記半導体が、前記アニーリングステージの少なくとも一部分において前記少なくとも1つの光源に暴露される、請求項50に記載のシステム。
  93. 前記少なくとも1つの光源が可変波長光源を含む、請求項50に記載のシステム。
  94. 前記半導体が、前記アニーリングステージの第1部分において第1光学波長を備えた光に暴露され、前記アニーリングステージの少なくとも1つの第2部分において少なくとも1つの第2光学波長に暴露される、請求項50に記載のシステム。
  95. 前記半導体が、温度上昇及び温度下降の少なくとも一方の一部分において光に暴露される、請求項50に記載のシステム。
JP2007510839A 2004-04-26 2005-04-25 光学照射を用いた接合形成装置及び方法 Abandoned JP2007535174A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/832,972 US20040235281A1 (en) 2003-04-25 2004-04-26 Apparatus and methods for junction formation using optical illumination
PCT/US2005/013955 WO2005106939A1 (en) 2004-04-26 2005-04-25 Apparatus and methods for junction formation using optical illumination

Publications (1)

Publication Number Publication Date
JP2007535174A true JP2007535174A (ja) 2007-11-29

Family

ID=34971751

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007510839A Abandoned JP2007535174A (ja) 2004-04-26 2005-04-25 光学照射を用いた接合形成装置及び方法

Country Status (5)

Country Link
US (1) US20040235281A1 (ja)
JP (1) JP2007535174A (ja)
KR (1) KR20070012496A (ja)
CN (1) CN100468655C (ja)
WO (1) WO2005106939A1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009272402A (ja) * 2008-05-02 2009-11-19 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
WO2011080857A1 (ja) * 2009-12-28 2011-07-07 パナソニック株式会社 半導体装置の製造方法及びプラズマドーピング装置
JP2012134460A (ja) * 2010-12-03 2012-07-12 Toshiba Corp 半導体装置の製造方法
US8324685B2 (en) 2009-02-12 2012-12-04 Panasonic Corporation Semiconductor device having a fin-type semiconductor region
WO2013179804A1 (ja) * 2012-05-31 2013-12-05 東京エレクトロン株式会社 半導体装置の製造方法およびアニール方法
JP2014007413A (ja) * 2013-08-19 2014-01-16 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
WO2015146757A1 (ja) * 2014-03-25 2015-10-01 住友重機械工業株式会社 半導体装置の製造方法
JP2015535389A (ja) * 2012-08-31 2015-12-10 マイクロン テクノロジー, インク. フォトニクス構造の形成方法
WO2018092401A1 (ja) * 2016-11-15 2018-05-24 信越半導体株式会社 デバイス形成方法
JPWO2018163386A1 (ja) * 2017-03-09 2019-12-26 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1751381A (zh) 2003-02-19 2006-03-22 松下电器产业株式会社 杂质导入方法
EP1672683A4 (en) * 2003-10-09 2008-10-01 Matsushita Electric Ind Co Ltd TRANSITION EDUCATION PROCEDURE AND OBJECT THEREFORE TO BE PROCESSED AND PRODUCED
JPWO2005119745A1 (ja) * 2004-06-04 2008-04-03 松下電器産業株式会社 不純物導入方法
KR101116356B1 (ko) 2010-01-29 2012-03-09 주식회사 하이닉스반도체 플라즈마 도핑 방법 및 그를 이용한 반도체장치 제조 방법
CN102376569B (zh) * 2011-10-14 2013-10-16 清华大学 一种用于激光加工位置量测的微测试结构制造方法
WO2013181263A1 (en) * 2012-05-30 2013-12-05 Applied Materials, Inc. Apparatus and methods for rapid thermal processing
US9012313B2 (en) 2013-03-15 2015-04-21 Globalfoundries Inc. Semiconductor device including a resistor and method for the formation thereof
US9613815B2 (en) * 2014-11-24 2017-04-04 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
CN107706127A (zh) * 2017-07-18 2018-02-16 中国科学院微电子研究所 一种混合退火装置及退火方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3211394B2 (ja) * 1992-08-13 2001-09-25 ソニー株式会社 半導体装置の製造方法
US5946102A (en) * 1997-07-28 1999-08-31 Mmr Technologies, Inc. Method and apparatus for parameter difference imaging of a sample surface
US5966605A (en) * 1997-11-07 1999-10-12 Advanced Micro Devices, Inc. Reduction of poly depletion in semiconductor integrated circuits
US6087247A (en) * 1998-01-29 2000-07-11 Varian Semiconductor Equipment Associates, Inc. Method for forming shallow junctions in semiconductor wafers using controlled, low level oxygen ambients during annealing
EP1264335A1 (en) * 2000-03-17 2002-12-11 Varian Semiconductor Equipment Associates Inc. Method of forming ultrashallow junctions by laser annealing and rapid thermal annealing
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
US6576945B2 (en) * 2001-02-05 2003-06-10 International Business Machines Corporation Structure and method for a compact trench-capacitor DRAM cell with body contact
DE10222879A1 (de) * 2001-05-23 2005-03-17 Mattson Thermal Products Gmbh Messung niedriger Wafer-Temperaturen
JP2002368212A (ja) * 2001-06-12 2002-12-20 Hitachi Ltd 絶縁ゲート型電界効果トランジスタ及びその製造方法
US6720241B2 (en) * 2001-06-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Method for manufacturing semiconductor device
US20030040130A1 (en) * 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
US6551888B1 (en) * 2001-12-18 2003-04-22 Advanced Micro Devices, Inc. Tuning absorption levels during laser thermal annealing
US7135423B2 (en) * 2002-05-09 2006-11-14 Varian Semiconductor Equipment Associates, Inc Methods for forming low resistivity, ultrashallow junctions with low damage
US6952269B2 (en) * 2002-09-24 2005-10-04 Intel Corporation Apparatus and method for adiabatically heating a semiconductor surface

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009272402A (ja) * 2008-05-02 2009-11-19 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
US8324685B2 (en) 2009-02-12 2012-12-04 Panasonic Corporation Semiconductor device having a fin-type semiconductor region
WO2011080857A1 (ja) * 2009-12-28 2011-07-07 パナソニック株式会社 半導体装置の製造方法及びプラズマドーピング装置
US8574972B2 (en) 2009-12-28 2013-11-05 Panasonic Corporation Method for fabricating semiconductor device and plasma doping apparatus
JP2012134460A (ja) * 2010-12-03 2012-07-12 Toshiba Corp 半導体装置の製造方法
WO2013179804A1 (ja) * 2012-05-31 2013-12-05 東京エレクトロン株式会社 半導体装置の製造方法およびアニール方法
JP2013251361A (ja) * 2012-05-31 2013-12-12 Tokyo Electron Ltd 半導体装置の製造方法およびアニール方法
US10094988B2 (en) 2012-08-31 2018-10-09 Micron Technology, Inc. Method of forming photonics structures
JP2015535389A (ja) * 2012-08-31 2015-12-10 マイクロン テクノロジー, インク. フォトニクス構造の形成方法
US11402590B2 (en) 2012-08-31 2022-08-02 Micron Technology, Inc. Method of forming photonics structures
US11886019B2 (en) 2012-08-31 2024-01-30 Micron Technology, Inc. Method of forming photonics structures
US10761275B2 (en) 2012-08-31 2020-09-01 Micron Technology, Inc. Method of forming photonics structures
JP2014007413A (ja) * 2013-08-19 2014-01-16 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
WO2015146757A1 (ja) * 2014-03-25 2015-10-01 住友重機械工業株式会社 半導体装置の製造方法
JP2015185693A (ja) * 2014-03-25 2015-10-22 住友重機械工業株式会社 半導体装置の製造方法
US10115595B2 (en) 2014-03-25 2018-10-30 Sumitomo Heavy Industries, Ltd. Method of manufacturing semiconductor device and semiconductor device
CN109891553A (zh) * 2016-11-15 2019-06-14 信越半导体株式会社 装置形成方法
US10748772B2 (en) 2016-11-15 2020-08-18 Shin-Etsu Handotai Co., Ltd. Device forming method
KR20190076972A (ko) * 2016-11-15 2019-07-02 신에쯔 한도타이 가부시키가이샤 디바이스 형성 방법
TWI733905B (zh) * 2016-11-15 2021-07-21 日商信越半導體股份有限公司 裝置形成方法
CN109891553B (zh) * 2016-11-15 2023-02-21 信越半导体株式会社 装置形成方法
KR102392885B1 (ko) 2016-11-15 2022-05-02 신에쯔 한도타이 가부시키가이샤 디바이스 형성 방법
WO2018092401A1 (ja) * 2016-11-15 2018-05-24 信越半導体株式会社 デバイス形成方法
JPWO2018163386A1 (ja) * 2017-03-09 2019-12-26 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11264253B2 (en) 2017-03-09 2022-03-01 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Also Published As

Publication number Publication date
US20040235281A1 (en) 2004-11-25
CN1998070A (zh) 2007-07-11
KR20070012496A (ko) 2007-01-25
WO2005106939A1 (en) 2005-11-10
CN100468655C (zh) 2009-03-11

Similar Documents

Publication Publication Date Title
JP2007535174A (ja) 光学照射を用いた接合形成装置及び方法
US6951996B2 (en) Pulsed processing semiconductor heating methods using combinations of heating sources
US6051483A (en) Formation of ultra-shallow semiconductor junction using microwave annealing
US9114479B2 (en) Managing thermal budget in annealing of substrates
US8624165B2 (en) Heat treatment apparatus for heating substrate by irradiating substrate with flashes of light
US20160247692A1 (en) Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
JP5611212B2 (ja) 基板のアニールにおける熱量の管理
US8314369B2 (en) Managing thermal budget in annealing of substrates
US20140329340A1 (en) Heat treatment method and heat treatment apparatus
US9351341B2 (en) Heat treatment apparatus for heating substrate by irradiating substrate with flash of light
US8859443B2 (en) Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with flash of light
US8802550B2 (en) Heat treatment method for heating substrate by irradiating substrate with flash of light
Gelpey et al. Advanced annealing for sub-130nm junction formation
Yoo et al. Electrical activation of ultra-shallow B and BF2 implanted silicon by flash anneal
JP5013235B2 (ja) イオン注入装置およびイオン注入方法
TWI763988B (zh) 低熱積存退火
US11621168B1 (en) Method and system for doping semiconductor materials
KR100588363B1 (ko) 열처리 장치와 열처리 방법
Yoo et al. Ultra-shallow junction implant anneal using xenon arc flash lamp
JPS595633A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080414

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20110606

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110607