JP2007533848A - 蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法 - Google Patents
蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法 Download PDFInfo
- Publication number
- JP2007533848A JP2007533848A JP2007506172A JP2007506172A JP2007533848A JP 2007533848 A JP2007533848 A JP 2007533848A JP 2007506172 A JP2007506172 A JP 2007506172A JP 2007506172 A JP2007506172 A JP 2007506172A JP 2007533848 A JP2007533848 A JP 2007533848A
- Authority
- JP
- Japan
- Prior art keywords
- chamber
- cleaning process
- substrate
- substrate holder
- combination
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 302
- 230000007547 defect Effects 0.000 title description 3
- 230000008569 process Effects 0.000 claims abstract description 229
- 239000007789 gas Substances 0.000 claims abstract description 124
- 239000000758 substrate Substances 0.000 claims abstract description 116
- 238000005137 deposition process Methods 0.000 claims abstract description 23
- 239000002243 precursor Substances 0.000 claims abstract description 23
- 238000012545 processing Methods 0.000 claims description 112
- 238000004140 cleaning Methods 0.000 claims description 79
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 33
- 238000000576 coating method Methods 0.000 claims description 32
- 239000011261 inert gas Substances 0.000 claims description 29
- 230000003750 conditioning effect Effects 0.000 claims description 26
- 229910052760 oxygen Inorganic materials 0.000 claims description 26
- 239000000463 material Substances 0.000 claims description 24
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 19
- 239000001301 oxygen Substances 0.000 claims description 19
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 17
- 238000000151 deposition Methods 0.000 claims description 17
- 229910052731 fluorine Inorganic materials 0.000 claims description 17
- 239000011737 fluorine Substances 0.000 claims description 17
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 11
- 229910052799 carbon Inorganic materials 0.000 claims description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 10
- 229910052757 nitrogen Inorganic materials 0.000 claims description 10
- 229910052710 silicon Inorganic materials 0.000 claims description 10
- 239000010703 silicon Substances 0.000 claims description 10
- 229910052786 argon Inorganic materials 0.000 claims description 7
- 229910052734 helium Inorganic materials 0.000 claims description 7
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 6
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 6
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 claims description 4
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 claims description 4
- UBEDKMYHTMGYIE-UHFFFAOYSA-N 1,2,3,4-tetramethyltetrasiletane Chemical compound C[SiH]1[SiH](C)[SiH](C)[SiH]1C UBEDKMYHTMGYIE-UHFFFAOYSA-N 0.000 claims description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 3
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 claims description 3
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 claims description 3
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims description 3
- 230000008033 biological extinction Effects 0.000 claims description 2
- 238000004886 process control Methods 0.000 claims 2
- 235000012431 wafers Nutrition 0.000 abstract description 70
- 239000002245 particle Substances 0.000 abstract description 22
- 230000008021 deposition Effects 0.000 abstract description 11
- 238000011109 contamination Methods 0.000 abstract description 6
- 239000000356 contaminant Substances 0.000 abstract description 3
- 238000012546 transfer Methods 0.000 description 19
- 238000010586 diagram Methods 0.000 description 16
- 230000002093 peripheral effect Effects 0.000 description 16
- 239000004065 semiconductor Substances 0.000 description 16
- 239000010408 film Substances 0.000 description 11
- 238000002474 experimental method Methods 0.000 description 10
- 239000007788 liquid Substances 0.000 description 8
- 230000007246 mechanism Effects 0.000 description 8
- 238000006243 chemical reaction Methods 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- 239000011248 coating agent Substances 0.000 description 6
- 238000005530 etching Methods 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 238000013519 translation Methods 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 239000000919 ceramic Substances 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 238000012544 monitoring process Methods 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005755 formation reaction Methods 0.000 description 2
- 238000009472 formulation Methods 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 238000010926 purge Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 239000006200 vaporizer Substances 0.000 description 2
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- 241001377894 Trias Species 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000003190 augmentative effect Effects 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 239000012776 electronic material Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 230000003134 recirculating effect Effects 0.000 description 1
- 239000003507 refrigerant Substances 0.000 description 1
- 210000002784 stomach Anatomy 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3121—Layers comprising organo-silicon compounds
- H01L21/3122—Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3148—Silicon Carbide layers
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Public Health (AREA)
- Epidemiology (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
Claims (34)
- プラズマ促進化学蒸着(PECVD)システムを動作させるための方法であって、
チャンバ調整プロセスを実行する工程であって、前記チャンバ調整プロセスは、チャンバ清掃プロセス、またはチャンバ前塗布プロセス、あるいはそれらの組み合わせを含み、前記チャンバ清掃プロセスは、使用の場合、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用い、前記チャンバ前塗布プロセスは、使用の場合、シリコン含有前駆体、炭素含有前駆体または不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる工程、
前記処理チャンバ処理チャンバ中の基材ホルダの上に基材を配置する工程、
前記基材の上に膜を蒸着させる工程であって、前記蒸着プロセスの間に、前駆体を含むプロセスガスを前記処理チャンバ処理チャンバに供給する工程、および
前記基材を前記処理チャンバ処理チャンバから取り出す工程
を含む方法。 - 前記処理チャンバ処理チャンバ中の前記基材ホルダの上に新しい基材を配置する工程、
前記新しい基材の上に膜を蒸着させる工程であって、前記蒸着プロセスの間に前記処理チャンバ処理チャンバに前駆体を含むプロセスガスを供給する工程、および
前記新しい基材を前記処理チャンバ処理チャンバから取り出す工程
をさらに含む、請求項1に記載の方法。 - プロセス後チャンバ清掃プロセスを実行する工程であって、前記プロセス後チャンバ清掃プロセスは、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる工程
をさらに含む、請求項2に記載の方法。 - 前記プロセス後チャンバ清掃プロセスは、NF3、CF4、C2F6、C3F8、C4F8、SF6、CHF3、F2またはCOF2、あるいはそれらの二つ以上の組み合わせを含むフッ素含有ガスを用いる、請求項3に記載の方法。
- 前記プロセス後チャンバ清掃プロセスは、H2O、NO、N2O、O2、O3、COまたはCO2、あるいはそれらの二つ以上の組み合わせを含む酸素含有ガスを用いる、請求項3に記載の方法。
- 前記プロセス後チャンバ清掃プロセスは、Ar、HeまたはN2、あるいはそれらの二つ以上の組み合わせを含む不活性ガスを用いる、請求項3に記載の方法。
- 前記プロセス後チャンバ清掃プロセスを実行する前に、前記基材ホルダの上にダミー基材を配置する工程、および
前記プロセス後チャンバ清掃プロセスを実行した後に、前記ダミー基材を取り出す工程
をさらに含む、請求項3に記載の方法。 - 前記基材の上の前記膜は、可変エッチング耐性ARC(TERA)材料を含み、前記新しい基材の上の前記膜は、実質的に同じTERA材料を含む、請求項2に記載の方法。
- 前記基材の上の前記膜は、可変エッチング耐性ARC(TERA)材料を含む、請求項1に記載の方法。
- 前記チャンバ調整プロセスを実行する前に、前記基材ホルダの上にダミー基材を配置する工程、および
前記チャンバ調整プロセスを実行した後に、前記ダミー基材を取り出す工程
をさらに含む、請求項1に記載の方法。 - 前記チャンバ調整プロセスは、前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、NF3、CF4、C2F6、C3F8、C4F8、SF6、CHF3、F2またはCOF2、あるいはそれらの二つ以上の組み合わせを含むフッ素含有ガスを使用する、請求項1に記載の方法。
- 前記チャンバ調整プロセスは、前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、H2O、NO、N2O、O2、O3、COまたはCO2、あるいはそれらの二つ以上の組み合わせを含む酸素含有ガスを使用する、請求項1に記載の方法。
- 前記チャンバ調整プロセスは、前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは、モノシラン(SiH4)、オルトケイ酸テトラエチル(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMTCS)、テトラメチルシクロテトラシラン(TMCTS)またはジメチルジメトキシシラン(DMDMOS)、あるいはそれらの二つ以上の組み合わせを含む前記シリコン含有前駆体を使用する、請求項1に記載の方法。
- 前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは、CH4、C2H6、C2H4、C2H2、C6H6またはC6H5OH、あるいはそれらの二つ以上の組み合わせを含む前記炭素含有ガスを使用する、請求項1に記載の方法。
- 前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、Ar、HeまたはN2、あるいはそれらの二つ以上の組み合わせを含む前記不活性ガスを使用する、請求項1に記載の方法。
- 前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは、Ar、HeまたはN2、あるいはそれらの二つ以上の組み合わせを含む前記不活性ガスを使用する、請求項1に記載の方法。
- 前記PECVDシステムはRF源を含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、
前記RF源を約0.1MHzから約200MHzの周波数範囲で動作させる工程、および
前記RF源を約0ワットから約10000ワットの電力範囲で動作させる工程
をさらに含む、請求項1に記載の方法。 - 前記PECVDシステムはRF源を含み、前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは、
前記RF源を約0.1MHzから約200MHzの周波数範囲で動作させる工程、および
前記RF源を約0.1ワットから約10000ワットの電力範囲で動作させる工程
をさらに含む、請求項1に記載の方法。 - 前記PECVDシステムは、上部電極および平行移動可能な基材ホルダを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、
第一の時間の間に、前記上部電極と前記平行移動可能な基材ホルダとの間に第一のギャップを確定させる工程、および
第二の時間の間に、前記上部電極と前記平行移動可能な基材ホルダとの間に第二のギャップを確定させる工程
をさらに含む、請求項1に記載の方法。 - 前記第一のギャップは、前記第二のギャップより小さいか、または等しい、請求項19に記載の方法。
- 前記第二のギャップは、前記第一のギャップより小さいか、または等しい、請求項19に記載の方法。
- 前記PECVDシステムは基材ホルダに結合された温度制御システムを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは前記基材ホルダ温度を約0℃と約500℃との間で制御する工程をさらに含む、請求項1に記載の方法。
- 前記PECVDシステムは基材ホルダに結合された温度制御システムを含み、前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは前記基材ホルダ温度を約0℃と約500℃との間で制御する工程をさらに含む、請求項1に記載の方法。
- 前記PECVDシステムは前記チャンバに結合された圧力制御システムを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは前記チャンバ圧力を約0.1mTorrと約100Torrとの間で制御する工程をさらに含む、請求項1に記載の方法。
- 前記PECVDシステムは前記チャンバに結合された圧力制御システムを含み、前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは前記チャンバ圧力を約0.1mTorrと約100Torrとの間で制御する工程をさらに含む、請求項1に記載の方法。
- 前記PECVDシステムはチャンバ壁に結合された温度制御システムを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは前記チャンバ壁温度を約0℃と約500℃との間で制御する工程をさらに含む、請求項1に記載の方法。
- 前記PECVDシステムはシャワープレートアセンブリに結合された温度制御システムを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは前記シャワープレートアセンブリ温度を約0℃と約500℃との間で制御する工程をさらに含む、請求項1に記載の方法。
- 前記膜は、248nm、193nmおよび157nmの少なくとも一つの波長で測定されたとき約1.5から約2.5の範囲の屈折率(n)と、248nm、193nmおよび157nmの少なくとも一つの波長で測定されたとき約0.1から約0.9の範囲の吸光係数(k)とを有する材料を含む、請求項1に記載の方法。
- プラズマ処理チャンバ処理チャンバ、
前記プラズマ処理チャンバ処理チャンバ内に構成された基材ホルダ、および
チャンバ調整プロセスを実行するための手段であって、前記チャンバ調整プロセスは、チャンバ清掃プロセスまたはチャンバ前塗布プロセス、あるいはそれらの組み合わせを含み、前記チャンバ清掃プロセスは、使用の場合、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用い、前記チャンバ前塗布プロセスは、使用の場合、シリコン含有前駆体、炭素含有前駆体または不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる手段
を含むプラズマ促進化学蒸着(PECVD)システム。 - 前記プラズマ処理チャンバ処理チャンバ中の前記基材ホルダの上に新しい基材を配置するための手段、
前記新しい基材の上に膜を蒸着させるための手段であって、前記蒸着プロセスの間に前駆体を含むプロセスガスを前記処理チャンバ処理チャンバに供給する手段、および
前記新しい基材を前記プラズマ処理チャンバ処理チャンバから取り出すための手段
をさらに含む請求項29に記載のシステム。 - プロセス後チャンバ清掃プロセスを実行するための手段であって、前記プロセス後チャンバ清掃プロセスは、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる手段
をさらに含む、請求項29に記載のシステム。 - 前記プラズマ処理チャンバ処理チャンバ中の前記基材ホルダの上にダミー基材を配置するための手段、
プロセス後チャンバ清掃プロセスを実行するための手段であって、前記プロセス後チャンバ清掃プロセスは、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる手段、および
プロセス後チャンバ清掃プロセスの後、前記ダミー基材を前記基材ホルダから取り出すための手段
をさらに含む、請求項31に記載のシステム。 - 前記膜は、可変エッチング耐性ARC(TERA)材料を含む、請求項29に記載のシステム。
- 前記プラズマ処理チャンバ処理チャンバ中の前記基材ホルダの上にダミー基材を配置するための手段、および
チャンバ調整プロセスの後、前記ダミー基材を前記基材ホルダから取り出すための手段
をさらに含む、請求項29に記載のシステム。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/812,354 US20050221020A1 (en) | 2004-03-30 | 2004-03-30 | Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film |
PCT/US2005/004916 WO2005103327A1 (en) | 2004-03-30 | 2005-02-11 | Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2007533848A true JP2007533848A (ja) | 2007-11-22 |
JP2007533848A5 JP2007533848A5 (ja) | 2008-03-06 |
Family
ID=34960979
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007506172A Pending JP2007533848A (ja) | 2004-03-30 | 2005-02-11 | 蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US20050221020A1 (ja) |
JP (1) | JP2007533848A (ja) |
TW (1) | TWI304447B (ja) |
WO (1) | WO2005103327A1 (ja) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008538127A (ja) * | 2005-03-21 | 2008-10-09 | 東京エレクトロン株式会社 | プラズマ加速原子層成膜のシステムおよび方法 |
JP2013509701A (ja) * | 2009-10-30 | 2013-03-14 | ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング | 堆積物の除去方法 |
KR20190116088A (ko) * | 2018-04-03 | 2019-10-14 | 도쿄엘렉트론가부시키가이샤 | 클리닝 방법 |
WO2022066503A1 (en) * | 2020-09-28 | 2022-03-31 | Applied Materials, Inc. | Method of using dual frequency rf power in a process chamber |
JP2022533362A (ja) * | 2019-05-22 | 2022-07-22 | アプライド マテリアルズ インコーポレイテッド | 高温腐食環境用の基板支持体カバー |
Families Citing this family (93)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7497959B2 (en) | 2004-05-11 | 2009-03-03 | International Business Machines Corporation | Methods and structures for protecting one area while processing another area on a chip |
US7879409B2 (en) * | 2004-07-23 | 2011-02-01 | Applied Materials, Inc. | Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber |
US20060093756A1 (en) * | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
JP4357434B2 (ja) * | 2005-02-25 | 2009-11-04 | 株式会社東芝 | 半導体装置の製造方法 |
JP5276979B2 (ja) * | 2005-06-29 | 2013-08-28 | テル・ソーラー・アクチェンゲゼルシャフト | 平坦基板を製造する方法 |
US8057603B2 (en) * | 2006-02-13 | 2011-11-15 | Tokyo Electron Limited | Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber |
JP2007294905A (ja) * | 2006-03-30 | 2007-11-08 | Hitachi High-Technologies Corp | 半導体製造方法およびエッチングシステム |
US7906032B2 (en) * | 2006-03-31 | 2011-03-15 | Tokyo Electron Limited | Method for conditioning a process chamber |
US20070248767A1 (en) * | 2006-04-19 | 2007-10-25 | Asm Japan K.K. | Method of self-cleaning of carbon-based film |
US9157151B2 (en) * | 2006-06-05 | 2015-10-13 | Applied Materials, Inc. | Elimination of first wafer effect for PECVD films |
US8232176B2 (en) * | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20100178017A1 (en) * | 2006-10-06 | 2010-07-15 | Boris Kharas | Method for Improving Refractive Index Control in PECVD Deposited a-SiNy Films |
US20080118663A1 (en) * | 2006-10-12 | 2008-05-22 | Applied Materials, Inc. | Contamination reducing liner for inductively coupled chamber |
JPWO2008050596A1 (ja) * | 2006-10-25 | 2010-02-25 | パナソニック株式会社 | プラズマドーピング方法及びプラズマドーピング装置 |
US8047653B2 (en) * | 2006-11-10 | 2011-11-01 | Sumitomo Electric Industries, Ltd. | Si-O containing hydrogenated carbon film, optical device including the same, and method for manufacturing the Si-O containing hydrogenated carbon film and the optical device |
US7989354B2 (en) * | 2007-06-08 | 2011-08-02 | Tokyo Electron Limited | Patterning method |
US20090090382A1 (en) * | 2007-10-05 | 2009-04-09 | Asm Japan K.K. | Method of self-cleaning of carbon-based film |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US8357435B2 (en) * | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
JP5384852B2 (ja) * | 2008-05-09 | 2014-01-08 | 株式会社日立国際電気 | 半導体装置の製造方法及び半導体製造装置 |
US8105648B2 (en) * | 2008-05-13 | 2012-01-31 | United Microelectronics Corp. | Method for operating a chemical deposition chamber |
US20100089978A1 (en) * | 2008-06-11 | 2010-04-15 | Suss Microtec Inc | Method and apparatus for wafer bonding |
US20100081293A1 (en) * | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
CA2744032C (en) * | 2008-11-20 | 2018-07-31 | Oerlikon Trading Ag, Trubbach | Cleaning method for coating systems |
CN101752457B (zh) * | 2008-12-18 | 2011-11-02 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种太阳能电池制造方法及设备 |
CN102405511B (zh) * | 2009-04-20 | 2014-06-11 | 应用材料公司 | 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法 |
US8980382B2 (en) * | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) * | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US7989365B2 (en) * | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US20110136347A1 (en) * | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
US8449942B2 (en) * | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
SG181670A1 (en) * | 2009-12-30 | 2012-07-30 | Applied Materials Inc | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) * | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
CN102754193A (zh) * | 2010-01-06 | 2012-10-24 | 应用材料公司 | 使用氧化物衬垫的可流动电介质 |
KR101837648B1 (ko) | 2010-01-07 | 2018-04-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 라디칼-컴포넌트 cvd를 위한 인시츄 오존 경화 |
WO2011109148A2 (en) * | 2010-03-05 | 2011-09-09 | Applied Materials, Inc. | Conformal layers by radical-component cvd |
US8236708B2 (en) * | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
US8476142B2 (en) | 2010-04-12 | 2013-07-02 | Applied Materials, Inc. | Preferential dielectric gapfill |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
WO2012134083A2 (en) | 2011-03-25 | 2012-10-04 | Lg Electronics Inc. | Plasma enhanced chemical vapor deposition apparatus and method for controlling the same |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
CN102877041B (zh) * | 2011-07-14 | 2014-11-19 | 中国科学院微电子研究所 | 薄膜沉积方法以及半导体器件制造方法 |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
KR20140110080A (ko) * | 2012-01-09 | 2014-09-16 | 어플라이드 머티어리얼스, 인코포레이티드 | 열화를 방지하기 위해 uv 챔버 광학 컴포넌트들을 시즈닝하기 위한 방법 |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9165771B2 (en) | 2013-04-04 | 2015-10-20 | Tokyo Electron Limited | Pulsed gas plasma doping method and apparatus |
CN103219227A (zh) * | 2013-04-09 | 2013-07-24 | 上海华力微电子有限公司 | 等离子体清洗方法 |
US8765546B1 (en) | 2013-06-24 | 2014-07-01 | United Microelectronics Corp. | Method for fabricating fin-shaped field-effect transistor |
JP6422262B2 (ja) * | 2013-10-24 | 2018-11-14 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9745658B2 (en) | 2013-11-25 | 2017-08-29 | Lam Research Corporation | Chamber undercoat preparation method for low temperature ALD films |
CN104752142B (zh) * | 2013-12-31 | 2018-03-06 | 北京北方华创微电子装备有限公司 | 调控等离子体反应腔室环境的方法 |
US9328416B2 (en) * | 2014-01-17 | 2016-05-03 | Lam Research Corporation | Method for the reduction of defectivity in vapor deposited films |
WO2015122981A1 (en) * | 2014-02-11 | 2015-08-20 | Applied Materials, Inc. | Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications |
CN105097485B (zh) * | 2014-05-05 | 2017-09-01 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 腔室环境调控方法 |
JP6360770B2 (ja) * | 2014-06-02 | 2018-07-18 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9613819B2 (en) * | 2014-06-06 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process chamber, method of preparing a process chamber, and method of operating a process chamber |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10192717B2 (en) * | 2014-07-21 | 2019-01-29 | Applied Materials, Inc. | Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates |
US9548188B2 (en) | 2014-07-30 | 2017-01-17 | Lam Research Corporation | Method of conditioning vacuum chamber of semiconductor substrate processing apparatus |
CN105448634B (zh) * | 2014-08-28 | 2017-10-24 | 北京北方华创微电子装备有限公司 | 一种腔室环境的控制方法 |
JP6298391B2 (ja) | 2014-10-07 | 2018-03-20 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10316408B2 (en) * | 2014-12-12 | 2019-06-11 | Silcotek Corp. | Delivery device, manufacturing system and process of manufacturing |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
CN104867804B (zh) * | 2015-03-30 | 2017-02-01 | 上海华力微电子有限公司 | 晶片刻蚀腔室的清洗方法 |
US10023956B2 (en) | 2015-04-09 | 2018-07-17 | Lam Research Corporation | Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems |
US10026638B2 (en) * | 2016-12-15 | 2018-07-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma distribution control |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
JP6779165B2 (ja) | 2017-03-29 | 2020-11-04 | 東京エレクトロン株式会社 | 金属汚染防止方法及び成膜装置 |
US20180294197A1 (en) * | 2017-04-06 | 2018-10-11 | Lam Research Corporation | System design for in-line particle and contamination metrology for showerhead and electrode parts |
WO2019113351A1 (en) | 2017-12-07 | 2019-06-13 | Lam Research Corporation | Oxidation resistant protective layer in chamber conditioning |
US10760158B2 (en) | 2017-12-15 | 2020-09-01 | Lam Research Corporation | Ex situ coating of chamber components for semiconductor processing |
CN111235553B (zh) * | 2018-11-29 | 2021-04-20 | 中国科学院大连化学物理研究所 | 一种一体化电极及在等离子体增强化学气相沉积设备中的应用 |
JP7355615B2 (ja) * | 2019-11-25 | 2023-10-03 | 東京エレクトロン株式会社 | 基板洗浄装置及び基板洗浄方法 |
KR20220134688A (ko) * | 2020-02-04 | 2022-10-05 | 램 리써치 코포레이션 | 플라즈마 프로세싱 시스템을 위한 rf 신호 필터 배열 |
JP7394668B2 (ja) * | 2020-03-13 | 2023-12-08 | 東京エレクトロン株式会社 | 温度制御方法およびプラズマ処理装置 |
US11996273B2 (en) * | 2020-10-21 | 2024-05-28 | Applied Materials, Inc. | Methods of seasoning process chambers |
US20230081862A1 (en) * | 2021-09-10 | 2023-03-16 | Tokyo Electron Limited | Focus Ring Regeneration |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH02240267A (ja) * | 1989-03-14 | 1990-09-25 | Fujitsu Ltd | Cvd装置の残留ガス除去方法 |
JPH07263370A (ja) * | 1994-03-17 | 1995-10-13 | Tokyo Electron Ltd | 熱処理装置 |
JPH07335626A (ja) * | 1994-06-10 | 1995-12-22 | Hitachi Ltd | プラズマ処理装置およびプラズマ処理方法 |
JPH1096082A (ja) * | 1996-06-14 | 1998-04-14 | Applied Materials Inc | 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用 |
JPH10317142A (ja) * | 1997-05-15 | 1998-12-02 | Tokyo Electron Ltd | クリーニング方法 |
JP2000355768A (ja) * | 1999-06-11 | 2000-12-26 | Hitachi Kokusai Electric Inc | プラズマcvd装置におけるクリーニング方法 |
JP2001242630A (ja) * | 2000-01-10 | 2001-09-07 | Internatl Business Mach Corp <Ibm> | リソグラフィ構造 |
JP2002343787A (ja) * | 2001-05-17 | 2002-11-29 | Research Institute Of Innovative Technology For The Earth | プラズマ処理装置およびそのクリーニング方法 |
JP2003100732A (ja) * | 2001-09-26 | 2003-04-04 | Nec Yamagata Ltd | プラズマcvd装置のプリコート方法 |
JP2003197615A (ja) * | 2001-12-26 | 2003-07-11 | Tokyo Electron Ltd | プラズマ処理装置およびそのクリーニング方法 |
Family Cites Families (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US387656A (en) * | 1888-08-14 | Tereitoey | ||
US4960488A (en) * | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
GB9410567D0 (en) * | 1994-05-26 | 1994-07-13 | Philips Electronics Uk Ltd | Plasma treatment and apparatus in electronic device manufacture |
US5598341A (en) * | 1995-03-10 | 1997-01-28 | Advanced Micro Devices, Inc. | Real-time in-line defect disposition and yield forecasting system |
US5647953A (en) * | 1995-12-22 | 1997-07-15 | Lam Research Corporation | Plasma cleaning method for removing residues in a plasma process chamber |
US5788799A (en) * | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
JP3696983B2 (ja) * | 1996-06-17 | 2005-09-21 | キヤノン株式会社 | プラズマ処理方法およびプラズマ処理装置 |
US5788778A (en) * | 1996-09-16 | 1998-08-04 | Applied Komatsu Technology, Inc. | Deposition chamber cleaning technique using a high power remote excitation source |
US5824375A (en) * | 1996-10-24 | 1998-10-20 | Applied Materials, Inc. | Decontamination of a plasma reactor using a plasma after a chamber clean |
US5882424A (en) * | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
US6109206A (en) * | 1997-05-29 | 2000-08-29 | Applied Materials, Inc. | Remote plasma source for chamber cleaning |
US6042887A (en) * | 1998-01-12 | 2000-03-28 | Taiwan Semiconductor Manufacturing Company | Process for forming a sausg inter metal dielectric layer by pre-coating the reactor |
US6374831B1 (en) * | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
US6459279B2 (en) * | 1999-03-02 | 2002-10-01 | Lockheed Martin Corporation | Diagnostic testing equipment for determining properties of materials and structures of low observable vehicles |
US6775707B1 (en) * | 1999-10-15 | 2004-08-10 | Fisher-Rosemount Systems, Inc. | Deferred acknowledgment communications and alarm management |
JP2001195890A (ja) * | 2000-01-12 | 2001-07-19 | Sharp Corp | 不揮発性半導体メモリ装置の書込み方式および書込み回路 |
KR100767762B1 (ko) * | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6329297B1 (en) * | 2000-04-21 | 2001-12-11 | Applied Materials, Inc. | Dilute remote plasma clean |
US6387207B1 (en) * | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
US6890861B1 (en) * | 2000-06-30 | 2005-05-10 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
TW200733203A (en) * | 2000-09-08 | 2007-09-01 | Tokyo Electron Ltd | Shower head structure and cleaning method thereof |
US6589868B2 (en) * | 2001-02-08 | 2003-07-08 | Applied Materials, Inc. | Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput |
US6654698B2 (en) * | 2001-06-12 | 2003-11-25 | Applied Materials, Inc. | Systems and methods for calibrating integrated inspection tools |
US20030000924A1 (en) * | 2001-06-29 | 2003-01-02 | Tokyo Electron Limited | Apparatus and method of gas injection sequencing |
US7337019B2 (en) * | 2001-07-16 | 2008-02-26 | Applied Materials, Inc. | Integration of fault detection with run-to-run control |
US6846745B1 (en) * | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
US7037376B2 (en) * | 2003-04-11 | 2006-05-02 | Applied Materials Inc. | Backflush chamber clean |
US7256134B2 (en) * | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
US7371436B2 (en) * | 2003-08-21 | 2008-05-13 | Tokyo Electron Limited | Method and apparatus for depositing materials with tunable optical properties and etching characteristics |
US20050100682A1 (en) * | 2003-11-06 | 2005-05-12 | Tokyo Electron Limited | Method for depositing materials on a substrate |
US7356222B2 (en) * | 2003-11-06 | 2008-04-08 | Nippon Sheet Glass Co., Ltd. | Wavelength selective optical device and method of tuning a wavelength characteristic of the same |
-
2004
- 2004-03-30 US US10/812,354 patent/US20050221020A1/en not_active Abandoned
-
2005
- 2005-02-11 JP JP2007506172A patent/JP2007533848A/ja active Pending
- 2005-02-11 WO PCT/US2005/004916 patent/WO2005103327A1/en active Application Filing
- 2005-03-30 TW TW094110014A patent/TWI304447B/zh not_active IP Right Cessation
-
2007
- 2007-08-08 US US11/835,576 patent/US20080000423A1/en not_active Abandoned
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH02240267A (ja) * | 1989-03-14 | 1990-09-25 | Fujitsu Ltd | Cvd装置の残留ガス除去方法 |
JPH07263370A (ja) * | 1994-03-17 | 1995-10-13 | Tokyo Electron Ltd | 熱処理装置 |
JPH07335626A (ja) * | 1994-06-10 | 1995-12-22 | Hitachi Ltd | プラズマ処理装置およびプラズマ処理方法 |
JPH1096082A (ja) * | 1996-06-14 | 1998-04-14 | Applied Materials Inc | 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用 |
JPH10317142A (ja) * | 1997-05-15 | 1998-12-02 | Tokyo Electron Ltd | クリーニング方法 |
JP2000355768A (ja) * | 1999-06-11 | 2000-12-26 | Hitachi Kokusai Electric Inc | プラズマcvd装置におけるクリーニング方法 |
JP2001242630A (ja) * | 2000-01-10 | 2001-09-07 | Internatl Business Mach Corp <Ibm> | リソグラフィ構造 |
JP2002343787A (ja) * | 2001-05-17 | 2002-11-29 | Research Institute Of Innovative Technology For The Earth | プラズマ処理装置およびそのクリーニング方法 |
JP2003100732A (ja) * | 2001-09-26 | 2003-04-04 | Nec Yamagata Ltd | プラズマcvd装置のプリコート方法 |
JP2003197615A (ja) * | 2001-12-26 | 2003-07-11 | Tokyo Electron Ltd | プラズマ処理装置およびそのクリーニング方法 |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008538127A (ja) * | 2005-03-21 | 2008-10-09 | 東京エレクトロン株式会社 | プラズマ加速原子層成膜のシステムおよび方法 |
JP2013509701A (ja) * | 2009-10-30 | 2013-03-14 | ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング | 堆積物の除去方法 |
KR20190116088A (ko) * | 2018-04-03 | 2019-10-14 | 도쿄엘렉트론가부시키가이샤 | 클리닝 방법 |
KR102651309B1 (ko) * | 2018-04-03 | 2024-03-26 | 도쿄엘렉트론가부시키가이샤 | 클리닝 방법 |
JP2022533362A (ja) * | 2019-05-22 | 2022-07-22 | アプライド マテリアルズ インコーポレイテッド | 高温腐食環境用の基板支持体カバー |
US11866821B2 (en) | 2019-05-22 | 2024-01-09 | Applied Materials, Inc. | Substrate support cover for high-temperature corrosive environment |
JP7427031B2 (ja) | 2019-05-22 | 2024-02-02 | アプライド マテリアルズ インコーポレイテッド | 高温腐食環境用の基板支持体カバー |
WO2022066503A1 (en) * | 2020-09-28 | 2022-03-31 | Applied Materials, Inc. | Method of using dual frequency rf power in a process chamber |
US11721545B2 (en) | 2020-09-28 | 2023-08-08 | Applied Materials, Inc. | Method of using dual frequency RF power in a process chamber |
Also Published As
Publication number | Publication date |
---|---|
TWI304447B (en) | 2008-12-21 |
US20050221020A1 (en) | 2005-10-06 |
TW200535277A (en) | 2005-11-01 |
WO2005103327A1 (en) | 2005-11-03 |
US20080000423A1 (en) | 2008-01-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2007533848A (ja) | 蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法 | |
US11637037B2 (en) | Method to create air gaps | |
KR102430939B1 (ko) | 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성 | |
US10837122B2 (en) | Method and apparatus for precleaning a substrate surface prior to epitaxial growth | |
US7288284B2 (en) | Post-cleaning chamber seasoning method | |
US7862683B2 (en) | Chamber dry cleaning | |
JP2008511987A (ja) | ソフトデチャックシーケンス | |
US20090302002A1 (en) | Method and apparatus for removing polymer from a substrate | |
TW201836023A (zh) | 用於介電薄膜的選擇性沉積之方法及設備 | |
KR20080002855A (ko) | 기판으로부터 산화물을 제거하기 위한 방법 및 시스템 | |
KR20220149611A (ko) | 몰리브덴의 원자 층 에칭 | |
KR101029286B1 (ko) | 조정 가능한 광학적 특성 및 에칭 특성을 갖는 물질을 증착하는 방법 및 장치 | |
US11666950B2 (en) | Method of forming process film | |
US20070039924A1 (en) | Low-temperature oxide removal using fluorine | |
TW201907480A (zh) | 形成鈦矽化物區域之方法 | |
WO2020243342A1 (en) | High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf | |
TW202419667A (zh) | 半導體基板的背側層 | |
TWI773910B (zh) | 具有氣體分佈及個別泵送的批次固化腔室 | |
TW202413687A (zh) | 單晶圓反應器、低溫熱矽氮化物沉積 | |
TW202247248A (zh) | 原子層蝕刻中的蝕刻選擇性控制 | |
CN116897409A (zh) | 用于处理基板的方法及设备 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20070910 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20070921 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080111 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080111 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110308 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110506 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20110531 |