JP2007533848A - 蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法 - Google Patents

蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法 Download PDF

Info

Publication number
JP2007533848A
JP2007533848A JP2007506172A JP2007506172A JP2007533848A JP 2007533848 A JP2007533848 A JP 2007533848A JP 2007506172 A JP2007506172 A JP 2007506172A JP 2007506172 A JP2007506172 A JP 2007506172A JP 2007533848 A JP2007533848 A JP 2007533848A
Authority
JP
Japan
Prior art keywords
chamber
cleaning process
substrate
substrate holder
combination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007506172A
Other languages
English (en)
Other versions
JP2007533848A5 (ja
Inventor
紀明 吹上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007533848A publication Critical patent/JP2007533848A/ja
Publication of JP2007533848A5 publication Critical patent/JP2007533848A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

ウエハ間の均一性が改善され、粒子汚染が減少した可変エッチング耐性ARC(TERA)層のための改善された蒸着プロセスを提供する方法および装置が含まれる。より詳しくは、処理チャンバを調整してTERA層の蒸着時にチャンバの中で発生する汚染物質粒子の数を減らしてウエハ間の均一性を改善する。装置は、上部電極、少なくとも一つのRF源、基材ホルダと、複数の前駆体およびプロセスガスを供給するためのシャワーヘッドとを有するチャンバを備える。

Description

本PCT出願は、参照によって本明細書に内容全体が組み込まれる2004年3月30日出願の米国特許非仮出願第10/812,354号に関連し、同号の優先権を主張する。
本願は、2003年8月21日出願の「調整可能な光物性およびエッチング特性を有する材料を蒸着させるための方法および装置」と題する同時係属中の米国特許第出願第10/644,958号、2003年11月6日出願の「フォトレジスト膜プロフィルを改善するための方法」と題する同時係属中の米国特許出願第10/702,048号、および2003年11月6日出願の「蒸着誘電体膜の上の現像後フォトレジストプロフィルを改善するための方法」と題する同時係属中の米国特許第10/702,043号にも関連する。参照によって本明細書にこれらの出願の内容全体が組み込まれる。
本発明は、プラズマ促進化学蒸着(PECVD)システムを用いて薄膜を蒸着させることに関する。より詳しくは、本発明は、ウエハ間の均一性が改善され、汚染物質が減少した膜を蒸着させることに関する。
集積回路およびデバイスの製造には、基材(基板)上の電子材料の蒸着が必要である。材料蒸着は、多くの場合、プラズマ促進化学蒸着(PECVD)によって実現される。この方法では、反応チャンバの中に基材(ウエハ)を配置し、反応性ガス雰囲気と接触させる。ガスは、ウエハ表面上で反応して膜を形成する。多くの場合、反応チャンバの表面でも膜形成反応が起こり、その結果、チャンバ壁、排気ライン、ガス注入および分散用ハードウェア等の上に、材料または反応副生成物が蓄積する。蒸着プロセスの間に、反応器表面に蒸着した材料および副生成物が表面から離れ、微粒子の形でウエハの上に沈積することがある。製造プロセスの間に粒子が入り込むと、デバイスの歩留りが低下することがある。
粒子状欠陥の原因となることに加えて、反応器壁上の材料蓄積は、蒸着プロセスの性能および繰り返し特性に影響することもある。膜によって反応器の伝熱特性が変化し、それによって、膜形成反応の実効温度が変ることがある。これによって、基材における反応の速度が変化し、蒸着される材料の性質に悪影響を与えることがある。さらに、反応器壁上の膜蒸着物は、望ましくない反応経路または寄生反応径路の核形成部位として働くことがある。これは、さらにウエハ表面における化学的反応に影響し、ひいては蒸着膜の性質を変えることがある。
本発明は、プラズマ促進化学蒸着(PECVD)システムを作動させるための方法に関する。この方法は、チャンバ調整プロセスを実行する工程を含み、チャンバ調整プロセスは、チャンバ清掃プロセス、チャンバ前塗布プロセスまたは両方を含む。チャンバ清掃プロセスは、使用の場合、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる。チャンバ前塗布プロセスは、使用の場合、シリコン含有前駆体、炭素含有前駆体または不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる。
さらに、この方法は、プロセス後チャンバ清掃プロセスを実行する工程を含むとよい。プロセス後チャンバ清掃プロセスは、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの組み合わせを用いる。
図1は、本発明の実施形態によるPECVDシステムの簡略化ブロック図の例を示している。例を示した実施形態では、PECVDシステム100は、処理チャンバ処理チャンバ110、容量結合プラズマ源の一部としての上部電極140、シャワープレートアセンブリ120、基材(基板)135を支持するための基材ホルダ130、圧力制御システム180、およびコントローラ190を備える。
一実施形態では、PECVDシステム100は、バルブ178を用いて処理チャンバ処理チャンバ110に結合されるとよいリモートプラズマシステム175を備えるとよい。別の実施形態では、リモートプラズマシステムおよびバルブは必要ない。リモートプラズマシステム175は、チャンバ清掃のために用いるとよい。
一実施形態では、PECVDシステム100は、処理チャンバ処理チャンバ110に結合されるとよい圧力制御システム180を備えるとよい。例えば、圧力制御システム180は、スロットルバルブ(図に示していない)およびターボ分子ポンプ(TMP)(図に示していない)を備えるとよく、処理チャンバ処理チャンバ110中に制御された圧力を提供することができる。他の実施形態では、圧力制御システムは、ドライポンプを備えるとよい。例えば、チャンバ圧力は、約0.1mTorrから約100Torrの範囲にあるとよい。あるいは、チャンバ圧力は、約0.1Torrから約20Torrの範囲にあるとよい。
処理チャンバ処理チャンバ110は、プロセス空間102中でのプラズマの生成を容易にすることができ。PECVDシステム100は、200mm基板、300mm基板またはそれ以上の大型基板など、任意のサイズの基材を処理するように構成するとよい。あるいは、PECVDシステム100は、一つまたはそれ以上の処理チャンバ処理チャンバ中にプラズマを発生させることによって、作動させるとよい。
PECVDシステム100は、処理チャンバ処理チャンバ110に結合されたシャワープレートアセンブリ120を備える。シャワープレートアセンブリは、基材ホルダ130に対向して結合される。シャワープレートアセンブリ120は、中心領域122、周辺領域124、および副領域126を備える。シールドリング128を用いてシャワープレートアセンブリ120を処理チャンバ処理チャンバ110に結合させるとよい。
中心領域122は、第一のプロセスガスライン123によってガス供給システム131に結合される。周辺領域124は、第二のプロセスガスライン125によってガス供給システム131に結合される。副領域126は、第三のプロセスガスライン127によってガス供給システム131に結合される。あるいは、他の構成も可能である。
ガス供給システム131は、第一のプロセスガスを中心領域122に、第二のプロセスガスを周辺領域124に、第三のプロセスガスを副領域126に供給する。ガス化学組成および流量は、これらの領域ごとに個別に制御してもよい。あるいは、中心領域と周辺領域とを単一の一次領域として一緒に結合させ、ガス供給システムは、第一のプロセスガスおよび/または第二のプロセスガスをこの一次領域に供給してもよい。他の実施形態では、これらの領域のどれを一緒に結合させてもよく、ガス供給システムは、一つまたはそれ以上のプロセスガスを適宜供給するとよい。
ガス供給システム131は、前駆体を供給するための少なくとも一つの気化器(図に示していない)を備えるとよい。あるいは、気化器は必要ない。他の実施形態では、バブリングシステムを用いてもよい。
PECVDシステム100は、上部電極140を備える。上部電極140は、シャワープレートアセンブリ120に結合させてもよく、処理チャンバ110に結合させてもよい。上部電極140は、温度制御素子142を備えるとよい。上部電極140は、第一の整合ネットワーク144を用いて第一のRF源146に接続するとよい。あるいは、個別の整合ネットワークは必要ない。
第一のRF源146は、上部RF信号を上部電極に供給し、第一のRF源146は、約0.1MHzから約200MHzの範囲の周波数で動作させるとよい。上部RF信号は、約1MHzから約100MHzの周波数範囲、あるいは約2MHzから約60MHzの周波数範囲にあるとよい。第一のRF源は、約0ワットから約10000ワットの電力範囲で動作させるとよく、あるいは、第一のRF源は、約0ワットから約5000ワットの電力範囲で動作させるとよい。
上部電極140およびRF源146は、容量結合プラズマ源の一部である。容量結合プラズマ源は、誘導結合プラズマ(ICP)源、変圧器結合プラズマ(TCP)源、マイクロ波電力プラズマ源、電子サイクロトロン共鳴(ECR)プラズマ源、ヘリコン波プラズマ源、および表面波プラズマ源など、他の種類のプラズマ源で置き換えるか、または増強するとよい。当分野で公知のように、さまざまな適切なプラズマ源において、上部電極140を取り除くか、または再構成するとよい。
基材135は、ロボット基材移動システム(図に示していない)によって、スロットバルブ(図に示していない)およびチャンバ内試料送入装置(図に示していない)を通して、例えば、処理チャンバ110の中に、および処理チャンバ110の中から移動させるとよく、基材135を、基材ホルダ130によって受け取り、基材ホルダ130に結合されたデバイスによって機械的に移行させるとよい。基材移動システムから基材135を受け取ると、結合アセンブリ152によって基材ホルダ130に結合させるとよい移行デバイス150を用いて、基材135を上昇および/または下降させるとよい。
基材135は、静電固定システムによって基材ホルダ130に固定するとよい。例えば、静電固定システム(ESC)は、電極117およびESC電源156を備えるとよい。例えば、約−2000Vから約+2000Vの範囲にあるとよい固定用電圧を固定用電極に供給するとよい。あるいは、固定用電圧は、約−1000Vから約+1000Vの範囲にあるとよい。他の実施形態では、ESCシステムおよび電源は必要ない。
基材ホルダ130は、基材ホルダの表面へ、および/または基材ホルダの表面から、基材を下降させ、および/または上昇させるためのリフトピン(図に示していない)を備えるとよい。他の実施形態では、基材ホルダ130中にさまざまな昇降手段を設けるとよい。他の実施形態では、例えば、背面ガスシステムを介して基材135の背後にガスを供給して、基材135と基材ホルダ130との間のガス‐ギャップ熱伝導係数を改善するとよい。
温度制御システムを設けてもよい。そのようなシステムは、高温または低温で基材ホルダの温度調節が必要なときに利用するとよい。例えば、抵抗発熱素子などの発熱素子132、または熱電ヒータ/クーラを備えるとよく、基材ホルダ130は、熱交換システム134をさらに備えてもよい。発熱素子132は、ヒータ電源158に結合するとよい。熱交換システム134は、基材ホルダ130から熱を受け取り、熱交換器システム(図に示していない)に熱を移動させるか、または加熱するときには、熱交換器システムから熱を移動させる再循環冷媒流手段を備えるとよい。
電極116は、第二の整合ネットワーク162を用いて第二のRF源160にも結合するとよい。あるいは、整合ネットワークは必要ない、
第二のRF源160は、下部RF信号(BRF)を下部電極116に供給し、第二のRF源160は、約0.1MHzから約200MHzの周波数範囲で動作させるとよい。BRF信号は、約0.2MHzから約30MHzの周波数範囲にあるとよく、あるいは約0.3MHzから約15MHzの周波数範囲にあるとよい。第二のRF源は、約0.0ワットから約1000ワットの電力範囲で動作させるとよく、あるいは、第二のRF源は、約0.0ワットから約500ワットの電力範囲で動作させるとよい。さまざまな実施形態で、下部電極116は用いられないこともあり、チャンバ内の唯一のプラズマ源のこともあり、あるいは任意の追加プラズマ源を増強することもある。
PECVDシステム100は、蛇腹154によって処理チャンバ110に結合させるとよい平行移動デバイス150をさらに備えるとよい。結合アセンブリ152で平行移動デバイス150を基材ホルダ130に結合させてもよい。蛇腹154は、垂直平行移動デバイスを処理チャンバ110の外の雰囲気から密閉するように構成される。
平行移動デバイス150によって、シャワープレートアセンブリ120と基材135との間に可変ギャップ104を確保することが可能になる。ギャップは、約1mmから約200mmの範囲であるとよく、あるいは、ギャップは、約2mmから約80mmの範囲であるとよい。蒸着および清掃プロセスの間、ギャップを固定するとよく、あるいはギャップを変化させるとよい。
さらに、基材ホルダ130は、焦点リング106およびセラミックカバー108をさらに備えるとよい。あるいは、焦点リング106および/またはセラミックカバー108は必要ない。
少なくとも一つのチャンバ壁112は、壁を保護する被覆膜114を含むとよい。例えば、被覆膜114は、セラミック材料を含むとよい。他の実施形態では、被覆膜は必要ない。さらに、処理チャンバ110内にセラミックシールド(図に示していない)を用いるとよい。さらに、温度制御システムを用いてチャンバ壁温度を制御してもよい。例えば、温度を制御するためのポートをチャンバ壁中に設けるとよい。チャンバの中でプロセスが実行されている間、チャンバ壁温度を比較的一定に維持するとよい。
温度制御システムを用いて、上部電極の温度を制御してもよい。温度調節素子142を用いて上部電極温度を制御するとよい。チャンバの中でプロセスが実行されている間、上部電極温度を比較的一定に維持するとよい。
さらに、PECVDシステム100は、汚染を制御するために用いるとよいパージシステム195を備えるとよい。
他の実施形態では、処理チャンバ110は、例えば、監視ポート(図に示していない)をさらに備えるとよい。監視ポートは、例えば、プロセス空間102の光監視を可能にすることができる。
PECVDシステム100はコントローラ190も備える。コントローラ190は、チャンバ110、シャワープレートアセンブリ120、基材ホルダ130、ガス供給システム131、上部電極140、第一のRF整合器144、第一のRF源146、平行移動デバイス150、ESC電源156、ヒータ電源158、第二のRF整合器162、第二のRF源160、パージシステム195、リモートプラズマ装置175および圧力制御システム180に結合させるとよい。コントローラは、これらの構成部品に制御データを提供し、これらの構成部品からプロセスデータなどのデータを受け取るように構成するとよい。例えば、コントローラ190は、マイクロプロセッサ、メモリ、および処理システム100への入力値を伝達および起動すると同時に、PECVDシステム100からの出力値を監視するのに十分な制御電圧を発生するとよいディジタルI/Oポートを含むとよい。さらに、コントローラ190は、システム構成部品と情報を交換するとよい。メモリ中に記憶されたプログラムも利用して、PECVDシステム100の前述の構成部品をプロセス処方どおり制御するとよい。さらに、コントローラ190は、プロセスデータを解析し、プロセスデータを目的プロセスデータと比較し、この比較結果を用いてプロセスを変化させ、および/または蒸着ツールを制御するように構成するとよい。コントローラは、プロセスデータを解析し、プロセスデータを履歴プロセスデータと比較し、この比較結果を用いて不良を予測し、予防し、および/またはを宣言するように構成するとよい。
図2Aは、本発明の実施形態による半導体処理システムの簡略化ブロック図の例を示している。例として示した実施形態では、200mmまたは300mmウエハを処理するための半導体処理システム200が示されている。例えば、この半導体処理システムは、東京エレクトロン社(Tokyo Electron Limited、TEL)のユニティ(Unity)システムであるとよい。
半導体処理システム200は、複数のカセットモジュール205、少なくとも一つの冷却モジュール210、複数の処理モジュール(220、230)、複数のガスボックス(222、232)、複数の液体供給システム(224、234)、移動モジュール240、RFアセンブリ250、制御アセンブリ260および保持アセンブリ270を含むとよい。
RFアセンブリ250は、複数の処理モジュール(220、230)に結合させるとよい。制御アセンブリ260は、半導体処理システム200のさまざまな構成部品に結合させ、制御するために用いるとよい。保持アセンブリ270は、半導体処理システム200のさまざまな構成部品の一つまたはそれ以上を結合し、保持するために用いるとよい。
例を示した実施形態では、二つのカセットモジュール205が示され、一つの温度制御モジュール210が示され、二つの処理モジュール(220、230)が示され、二つのガスボックス(222、232)が示され、二つの液体供給システム(224、234)が示され、一つの移動モジュール240が示され、一つのRFアセンブリ250が示され、一つの制御アセンブリ260が示され、一つの保持アセンブリ270が示されているが、これは、本発明に必須ではない。他の実施形態では、さまざまな構成を用いてもよく、処理システムは、図2Aに示されていない追加の構成部品を含んでもよい。
例を示した実施形態では、カセットモジュール205のそれぞれが複数のウエハを保持するとよい。カセットモジュールは、一時に一つのカセットモジュールを移動モジュール240の移動ポートに結合させるとよいように、移動させ、位置決めさせるとよい。移動機構(図に示していない)を用いて、カセットモジュール205と移動モジュール240との間でウエハを移動させるとよい。ウエハを、移動モジュール240中の位置決めアセンブリ(図に示していない)へ移動させるとよい。位置決めアセンブリは、ウエハのノッチに対してウエハを芯出しおよび位置決めするために用いるとよい。
例を示した実施形態では、温度制御モジュール210は、プロセスを実行する前、またはプロセスを実行した後に、ウエハの温度を制御するために用いるとよい温度制御素子(図に示していない)を備えるとよい。例えば、温度制御モジュール210は、冷却モジュールであるとよい。温度制御モジュール210は、移動モジュール240の移動ポートに結合するとよい。移動機構(図に示していない)を用いて温度制御モジュール210と移動モジュール240との間でウエハを移動させるとよい。例えば、プロセスを実行した後、ウエハを温度制御モジュール210に移動させて冷却するとよい。
例を示した実施形態では、処理モジュール(220、230)のそれぞれは、ウエハを処理するために用いるとよい少なくとも一つの処理チャンバ(図に示していない)を備えるとよい。例えば、処理モジュール(220、230)の一つまたはそれ以上は、図2Aに示されるように、プラズマ促進蒸着モジュールを含むとよい。あるいは、処理モジュール(220、230)の一つまたはそれ以上は、化学蒸着(CVD)モジュール、物理蒸着(PVD、iPVD)モジュール、原子層堆積(ALD)モジュール、エッチングモジュール、フォトレジスト塗布モジュール、パターン形成モジュール、現像モジュール、熱処理モジュール、硬化モジュールおよび/またはそれらの組み合わせを備えるとよい。
図2Aに示されるように、処理モジュール(220、230)は、移動モジュール240のさまざまな移動ポートに結合させるとよい。移動機構(図に示していない)を用いて処理モジュールと移動モジュールとの間でウエハを移動させるとよい。例えば、ウエハを、第一の処理モジュールに移動させ、そこで第一のプロセスを実行し、次いで第二の処理モジュールに移動させ、そこで第二のプロセスを実行するとよい。さらに、ウエハは、処理モジュール(220、230)の一方だけを用いて処理してもよい。
図2Aに示されるように、ガスボックス222は処理モジュール220に結合された状態で示され、ガスボックス232は処理モジュール230に結合された状態で示されている。例えば、ガスボックス222はプロセスガスを処理モジュール220に供給するとよく、ガスボックス232はプロセスガスを処理モジュール230に供給するとよい。
さらに、液体供給システム224は処理モジュール220に結合された状態で示され、液体供給システム234は処理モジュール230に結合された状態で示されている。例えば、液体供給システム224は処理液を処理モジュール220に供給するとよく、液体供給システム234は処理液を処理モジュール230に供給するとよい。
図2Bは、図2Aに例を示した半導体処理システム全体の簡略化ウエハフロー図を示している。例を示した実施形態では、プロセスフローの例270が示されている。プロセスフロー270は272で開始され、274で、一つまたはそれ以上のカセットモジュールを処理システムに結合させるとよい。276で、カセットモジュールから移動モジュール中にウエハを移動させるとよく、278で、移動モジュール中の位置決めアセンブリを用いて、ウエハを芯出しおよび/または位置決めするとよい。280で、ウエハを処理モジュール中に移動させ、処理するとよい。286で、処理されたウエハを移動モジュール中に移動させて戻すとよい。290で、処理されたウエハを冷却モジュール中に移動させるとよい。292で、処理されたウエハを移動モジュールに移動させて戻すとよい。294で、処理されたウエハをカセットモジュール中に移動させるとよい。プロセスフローを296で終了させるとよい。
別のプロセスフロー例では、282で、処理されたウエハをプロセスモジュールから移動モジュールの中に移動させるとよく、284で、処理されたウエハを別の処理モジュールの中に移動させ、そこで別のプロセスを実行するとよい。286で、処理されたウエハを移動モジュールの中に移動させて戻し、図2Bに示されるように、プロセスフローを続けるとよい。代替プロセスフローでは、他のプロセスモジュールを含んでもよく、さまざまなプロセスフローを用いるとよい。例えば、統合化計測モジュール(IMM)を移動モジュールおよび/または処理モジュールに結合させるとよく、プロセスを実行する前および/または実行した後に、IMMモジュールを用いて測定を行うとよい。
図3Aは、本発明の実施形態による別の半導体処理システム用の簡略化されたブロック図の例を示している。例を示した実施形態では、300mmまたは200mmウエハを処理するための半導体処理システム300が示されている。例えば、この半導体処理システムは、東京エレクトロン社(TEL)のトライアス(Trias)システムであるとよい。
例を示した実施形態に示されるように、半導体処理システム300は、複数の前面開口統一型ポッド(FOUP)305、ローダモジュール310、少なくとも一つの配向モジュール315、複数のロードロックモジュール(LLM)320、移動モジュール330、および複数の処理モジュール(340、350)を備えるとよい。
例を示した実施形態では、三つのFOUP305が示されている。FOUP305の一つを用いてダミーウエハを保管する。一つのローダモジュール310が示され、一つの配向モジュール(315)が示され、二つのロードロックモジュール320が示され、一つの移動モジュール330が示され、二つの処理モジュール(340、350)が示されている。しかし、これは、本発明の要件ではない。他の実施形態では、さまざまな構成を用いてよく、処理システムは、図3Aに示されていない追加の部品を含んでもよい。
例を示した実施形態では、各FOUP305は、ダミーウエハを含む複数のウエハを含むとよい。FOUP305は、ウエハがファブ(fab)中のプロセスツールの間を搬送されるとき、ウエハを保護する密封環境である。例えば、FOUPは、SEMI基準に準拠させるとよく、最大25枚の300mmウエハを格納するとよい。一時に三つのFOUP305をローダモジュール310に結合させるとよい。二つまたはそれ以上の移動機構(図に示していない)を用いてFOUP305とローダモジュール310との間でウエハを移動させるとよい。例えば、二つの移動機構を用いてスループットを高めるとよい。
ウエハを、ローダモジュール310に結合された配向モジュール315へ移動させるとよい。配向モジュール315を用いて、ウエハ中のノッチに対してウエハを芯出しおよび位置決めするとよい。ローダモジュールは、一つまたはそれ以上の緩衝ステーション(図に示していない)を備えるとよい。ローダモジュールは、HEPAフィルタ処理された層流環境を備えて、ウエハ移動に伴う機械的運動の間に生じる粒子をできるだけ少なくするとよい。
図3Aに示されるように、ローダモジュールの別々の移動ポートに二つのロードロックモジュール320を結合させるとよい。移動機構(図に示していない)を用いて、ローダモジュール310とロードロックモジュール320との間でウエハを移動させるとよい。さらに、移動モジュール330の別々の移動ポートに二つのロードロックモジュール320を結合させるとよい。移動機構(図に示していない)を用いて、移動モジュール330とロードロックモジュール320との間でウエハを移動させるとよい。
例を示した実施形態では、処理モジュール(340、350)のそれぞれは、少なくとも一つの処理チャンバ(図に示していない)を備えるとよい。処理チャンバを用いてウエハを処理するとよい。例えば、図1に示されるように、処理モジュール(340、350)の一つまたはそれ以上はプラズマ促進蒸着モジュールを備えるとよい。あるいは、処理モジュール(340、350)の一つまたはそれ以上は、化学蒸着(CVD)モジュール、物理蒸着(PVD、iPVD)モジュール、原子層堆積(ALD)モジュール、エッチングモジュール、フォトレジスト塗布モジュール、パターン形成モジュール、成長モジュール、熱処理モジュール、硬化モジュールおよび/またはそれらの組み合わせを備えるとよい。
図3Aに示されるように、処理モジュール(340、350)を、移動モジュール330の別々の移動ポートに結合させるとよい。移動機構(図に示していない)を用いて処理モジュール(340、350)と移動モジュール330との間でウエハを移動させるとよい。例えば、第一の処理モジュールにウエハを移動させ、そこで第一のプロセスを実行してから第二の処理モジュールに移動させ、そこで第二のプロセスを実行するとよい。さらに、処理モジュール(340、350)の一方だけを用いてウエハを処理してもよい。
図3Bは、図3Aに例を示した半導体処理システム全体の簡略化ウエハフロー図を示している。例を示した実施形態では、プロセスフローの例360が示されている。プロセスフロー360は362で開始され、364で、一つまたはそれ以上のFOUPを処理システムに結合させるとよい。366で、FOUPからローダモジュール中にウエハを移動させるとよく、368で、配向モジュール315中の位置決めアセンブリを用いて、ウエハを芯出しおよび/または位置決めするとよい。370で、ウエハをローダモジュール中に移動させ、372で、ウエハをロードロックモジュール中に移動させ、374で、ウエハを移動モジュール中に移動させるとよい。376で、ウエハを処理モジュール中に移動させ、処理するとよい。382で、処理されたウエハを移動モジュール中に移動させて戻すとよく、384で、処理されたウエハをロードロックモジュール中に移動させるとよい。386で、処理されたウエハをローダモジュール中に移動させるとよく、388で、処理されたウエハをFOUP中に移動させるとよい。プロセスフロー360を390で終了させるとよい。
別のプロセスフロー例では、378で、処理されたウエハをプロセスモジュールから移動モジュールの中に移動させるとよく、380で、処理されたウエハを別の処理モジュールの中に移動させ、そこで別のプロセスを実行するとよい。382で、処理されたウエハを移動モジュールの中に移動させて戻し、図3Bに示されるように、プロセスフロー360を続けるとよい。代替プロセスフローでは、他のプロセスモジュールを含んでもよく、さまざまなプロセスフローを用いるとよい。例えば、統合型計測モジュール(IMM)を移動モジュールおよび/または処理モジュールに結合させるとよく、プロセスを実行する前および/または実行した後に、IMMモジュールを用いて測定を行うとよい。
図4は、本発明の実施形態によって基材上に蒸着する粒子の量を減らすための手順の簡略化フロー図を示している。手順400は410で開始される。例えば、ダミー基材をチャンバの中に挿入し、基材ホルダ130の上に配置するとよい。あるいは、ダミー基材は必要ない。基材ホルダは、平行移動可能させるとよく、上部電極表面と基材ホルダの表面との間のギャップを確定するために用いるとよい。
420で、チャンバ調整プロセスを実行するとよい。チャンバ調整プロセスは、チャンバ清掃プロセスおよび/またはチャンバ前塗布プロセスを含むとよい。一実施形態では、調整プロセスの間に、清掃プロセスを実行するとよい。他の実施形態では、清掃プロセスは調整プロセスの間の要件ではない。チャンバ清掃プロセスの間、上部電極と基材ホルダの表面との間にギャップを確定するとよい。ギャップは、約1mmから約200mmの範囲であるとよく、あるいは、ギャップは、約2mmから約150mmの範囲であるとよい。さらに、第一の時間の間に第一のギャップを確定してもよく、第二の時間の間に第二のギャップを確定してもよい。他の実施形態では、ギャップサイズは固定されたままにするとよい。他の実施形態では、チャンバ清掃プロセスの間に、ギャップサイズを2回以上変化させてもよい。あるいは、清掃プロセス全体にわたって、圧力、RF電力およびガス流量の少なくとも一つを変化させてもよい。
第一のギャップは、約2mmから約200mmまで変化させるとよく、第二のギャップは約2mmから約200mmまで変化させるとよい。第一のギャップは、約4mmから約80mmまで変化させるとよく、第二のギャップは約10mmから約200mmまで変化させるとよい。一例では、第一のギャップは、約6mmから約80mmまで変化させるとよく、第二のギャップは約10mmから約125mmまで変化させるとよい。
第一の時間間隔は、約0秒から約3000秒まで変化させるとよく、第二の時間間隔は、約0秒から約3000秒まで変化させるとよい。あるいは、第一の時間間隔は、約0秒から約2000秒まで変化させるとよく、第二の時間間隔は、約0秒から約2000秒まで変化させるとよい。一例では、第一の時間間隔は、約30秒から約1200秒まで変化させるとよく、第二の時間間隔は、約30秒から約1200秒まで変化させるとよい。
チャンバ清掃プロセスの間に、プラズマを発生および/または制御する第一のRF源を用いて、上部電極にRF信号を供給するとよい。例えば、第一のRF源は、約0.1MHzから約200MHzの周波数範囲で動作させるとよい。あるいは第一のRF源は、約1MHzから約100MHzの周波数範囲で動作させるとよく、または、第一のRF源は、約2MHzから約60MHzの周波数範囲で動作させるとよい。第一のRF源は、約0ワットから約10000ワットの電力範囲で動作させるとよく、あるいは、第一のRF源は、約10ワットから約5000ワットの電力範囲で動作させるとよい。別の実施形態では、第一のRF源は、約50ワットから約2000ワットの電力範囲で動作させるとよい。
あるいは、チャンバ清掃プロセスの間に、プラズマを発生および/または制御する第二のRF源を用いて、基材ホルダ中の下部電極にRF信号を供給するとよい。例えば、第二のRF源は、約0.1MHzから約200MHzの周波数範囲で動作させるとよい。あるいは第二のRF源は、約0.2MHzから約30MHzの周波数範囲で動作させるとよく、または、第二のRF源は、約0.3MHzから約15MHzの周波数範囲で動作させるとよい。第二のRF源は、約0ワットから約1000ワットの電力範囲で動作させるとよく、あるいは、第二のRF源は、約0ワットから約500ワットの電力範囲で動作させるとよい。
さまざまな実施形態で、チャンバ清掃プロセスの間に、単一のRF源を用いてもよく、および/またはRF源の組み合わせを用いてもよい。
あるいは、RFとともに、またはRFの代わりに、リモートプラズマを用いてもよい。
一実施形態では、処理チャンバの中にシャワープレートアセンブリを設け、上部電極に結合させるとよい。他の実施形態では、さまざまなガス供給手段を設けるとよい。例えば、シャワープレートアセンブリは、中心領域122、周辺領域124、および副領域126を備えるとよく、シャワープレートアセンブリは、ガス供給システムに結合させるとよい。チャンバ清掃プロセス時には、中心領域に一つまたはそれ以上のプロセスガスを供給するとよく、周辺領域に一つまたはそれ以上のプロセスガスを供給するとよく、副領域に一つまたはそれ以上のプロセスガスを供給するとよい。別々の領域に供給されるプロセスガスは、同じであってもよく、異なっていてもよい
あるいは、中心領域と周辺領域とは、単一の一次領域として一緒に結合させてもよく、ガス供給システムは、第一のプロセスガスおよび/または第二のプロセスガスを一次領域に供給してもよい。他の実施形態では、どの領域を一緒に結合させてもよく、ガス供給システムは、一つまたはそれ以上のプロセスガスを供給すればよい。
チャンバ清掃プロセスには、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つまたはそれ以上の組み合わせを見いだすとよい。フッ素含有ガスはNF、CF、C、C、C、SF、CHF、FまたはCOF、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。酸素含有ガスはO、O、CO、NO、NOまたはCO、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。不活性ガスはアルゴン、ヘリウムまたは窒素、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。
さらに、フッ素含有ガスは約0sccmから約10000sccmまで変化する流量を有するとよく、酸素含有ガスは約0sccmから約10000sccmまで変化する流量を有するとよく、不活性ガスは約0sccmから約10000sccmまで変化する流量を有するとよい。あるいは、フッ素含有ガスは、約10sccmから約5000sccmまで変化する流量を有するとよく、酸素含有ガスは、約10sccmから約5000sccmまで変化する流量を有するとよく、不活性ガスは約10sccmから約5000sccmまで変化する流量を有するとよい。
基材ホルダに温度制御システムを結合させてもよく、チャンバ清掃プロセスの間、温度制御システムを用いて基材ホルダ温度を制御してもよい。基材ホルダ温度は約0℃から約500℃の範囲にあるとよく、あるいは、基材ホルダ温度は約200℃から約500℃の範囲にあるとよい。例えば、基材ホルダ温度は約250℃から約400℃の範囲にあるとよい。チャンバ壁に温度制御システムを結合させてもよく、温度制御システムを用いてチャンバ壁の温度を制御してもよい。例えば、チャンバ壁の温度は約0℃から約500℃の範囲にあるとよい。さらに、シャワープレートアセンブリに温度制御システムを結合させてもよく、温度制御システムを用いてシャワープレートアセンブリの温度を制御してもよい。例えば、シャワープレートアセンブリの温度は、約0℃から約500℃の範囲にあるとよい。
さらに、チャンバに圧力制御システムを結合させるとよく、チャンバ清掃プロセスの間、圧力制御システムを用いてチャンバ圧力を制御するとよい。チャンバ圧力を約0.1mTorrから約100Torrの範囲にするとよい。
チャンバ清掃プロセスの間、ESC電圧は必要ない。あるいは、チャンバ清掃プロセスの間、ESC電圧を用いてもよい。
一実施形態では、調整プロセスの間に、チャンバ前塗布プロセスを実行するとよい。他の実施形態では、調整プロセスの間、チャンバ前塗布プロセスは必要ない。
チャンバ前塗布プロセスの間、ギャップを確定するとよく、ギャップを約1mmから約200の範囲にするとよく、あるいは、ギャップを、約2mmから約150mmの範囲にするとよい。一実施形態では、ギャップサイズを一定のままにするとよい。他の実施形態では、第一の時間の間に第一のギャップを確定するとよく、第二の時間の間に第二のギャップを確定するとよい。他の実施形態では、チャンバ前塗布プロセスの間に、ギャップサイズを二回以上変化させるとよい。一例では、ギャップを約10.0mmから約30.0mmまで変化させるとよい。あるいは、少なくとも一つの圧力で、前塗布プロセス全体にわたってRF電力および前駆体流量を変化させるとよい。
前塗布時間の長さを、約0秒から約3000秒まで変化させるとよく、あるいは、前塗布時間の長さを約0秒から約600秒まで変化させるとよい。一例では、前塗布時間の長さを約20秒から約300秒まで変化させるとよい。
チャンバ前塗布プロセスの間、プラズマを発生および/または制御する第一のRF源を用いて上部電極にRF信号を供給するとよい。例えば、第一のRF源を約0.1MHzから約200MHzの周波数範囲で動作させるとよい。あるいは、第一のRF源を約1MHzから約100MHzの周波数範囲で動作させるとよく、または、第一のRF源を約2MHzから約60MHzの周波数範囲で動作させるとよい。第一のRF源を約10ワットから約10000ワットの電力範囲で動作させるとよく、あるいは、第一のRF源を約10ワットから約5000ワットの電力範囲で動作させるとよい。別の実施形態では、第一のRF源を約100ワットから約2000ワットの電力範囲で動作させるとよい。
あるいは、チャンバ前塗布プロセスの間、プラズマを発生および/または制御する第二のRF源を用いて基材ホルダ中の下部電極にRF信号を供給するとよい。例えば、第二のRF源を約0.1MHzから約200MHzの周波数範囲で動作させるとよい。あるいは、第二のRF源を約0.2MHzから約30MHzの周波数範囲で動作させるとよく、または、第二のRF源を約0.3MHzから約15MHzの周波数範囲で動作させるとよい。第二のRF源を約0ワットから約1000ワットの電力範囲で動作させるとよく、あるいは、第二のRF源を約0ワットから約500ワットの電力範囲で動作させるとよい。
さまざまな実施形態で、チャンバ前塗布プロセスの間に、単一のRF源を用いてもよく、および/または、RF源の組み合わせを用いてもよい。
一実施形態では、処理チャンバの中にシャワープレートアセンブリを設け、上部電極に結合させるとよい。他の実施形態では、種々のガス供給手段を設けるとよい。例えば、シャワープレートアセンブリは、中心領域122、周辺領域124および副領域126を備えるとよく、シャワープレートアセンブリをガス供給システムに結合させるとよい。チャンバ前塗布プロセスの間、一つまたはそれ以上のプロセスガスを中心領域に供給するとよく、チャンバ前塗布プロセスの間、一つまたはそれ以上のプロセスガスを周辺領域に提供するとよく、一つまたはそれ以上のプロセスガスを副領域に提供するとよい。さまざまな領域に供給されるプロセスガスは、同じであってもよく、異なっていてもよい。
あるいは、中心領域と周辺領域とを単一の一次領域として一緒に結合させてもよく、ガス供給システムは、第一のプロセスガスおよび/または第二のプロセスガスをこの一次領域に提供すればよい。他の実施形態では、領域のどれを一緒に結合させてもよく、ガス供給システムは、一つまたはそれ以上のプロセスガスを提供してもよい。
チャンバ前塗布プロセスの間、シリコン含有前駆体、炭素含有前駆体または不活性ガス、あるいはそれらの二つまたはそれ以上の組み合わせを用いるとよい。シリコン含有前駆体はモノシラン(SiH)、オルトケイ酸テトラエチル(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMTCS)またはテトラメチルシクロテトラシラン(TMCTS)、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。炭素含有ガスはCH、C、C、C、CまたはCOH、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。不活性ガスはアルゴン、ヘリウムまたは窒素、あるいはそれらの二つまたはそれ以上の組み合わせの少なくとも一つを含むとよい。
さらに、シリコン含有前駆体および炭素含有前駆体は、約0sccmから約2000sccmまで変化する流量を有するとよく、不活性ガスは、約0sccmから約5000sccmまで変化する流量を有するとよい。あるいは、シリコン含有前駆体および炭素含有前駆体は、約10sccmから約1000sccmまで変化する流量を有するとよく、不活性ガスは、約10sccmから約2000sccmまで変化する流量を有するとよい。
基材ホルダに温度制御システムを結合させてもよく、チャンバ前塗布プロセスの間、温度制御システムを用いて基材ホルダ温度を制御してもよい。基材ホルダ温度を約0℃から約500℃の範囲にするとよく、あるいは、基材ホルダ温度を約200℃から約500℃の範囲にするとよい。例えば、基材ホルダ温度を約250℃から約400℃の範囲にするとよい。チャンバ壁に温度制御システムを結合させてもよく、温度制御システムを用いてチャンバ壁の温度を制御してもよい。例えば、チャンバ壁の温度を約0℃から約500℃の範囲にするとよい。さらに、シャワープレートアセンブリに温度制御システムを結合させてもよく、温度制御システムを用いてシャワープレートアセンブリの温度を制御してもよい。例えば、シャワープレートアセンブリの温度を約0℃から約500℃の範囲にするとよい。
さらに、チャンバに圧力制御システムを結合させてもよく、チャンバ前塗布プロセスの間、圧力制御システムを用いてチャンバ圧力を制御してもよい。チャンバ圧力を約0.1mTorrから約100Torrの範囲にするとよい。例えば、チャンバ圧力を約0.1Torrから約10Torrの範囲にするとよい。
チャンバ前塗布プロセスの間、ESC電圧は必要ない。あるいは、チャンバ前塗布プロセスの間、ESC電圧を用いてもよい。
430で、蒸着プロセスを実行するとよい。あるいは、別の時点で蒸着プロセスを実行してもよい。蒸着プロセスの間、少なくとも一つの基材を処理するとよく、少なくとも一つの層を蒸着させるとよい。一実施形態では、蒸着プロセスの間、TERA層を蒸着させるとよい。あるいは、異なる種類の膜を蒸着させてもよい。
蒸着プロセスの間、第一のRF源を用いて上部電極にRF信号を供給するとよい。例えば、第一のRF源を約0.1MHzから約200MHzの周波数範囲で動作させるとよい。あるいは、第一のRF源を約1MHzから約100MHzの周波数範囲で動作させるとよく、または第一のRF源を約2MHzから約60MHzの周波数範囲で動作させるとよい。第一のRF源を約10ワットから約10000ワットの電力範囲で動作させるとよく、あるいは、第一のRF源を約10ワットから約5000ワットの電力範囲で動作させるとよい。
あるいは、蒸着プロセスの間、第二のRF源を用いて基材ホルダ中の下部電極にRF信号を供給するとよい。例えば、第二のRF源を約0.1MHzから約200MHzの周波数範囲で動作させるとよい。あるいは、第二のRF源を約0.2MHzから約30MHzの周波数範囲で動作させるとよく、または第二のRF源を約0.3MHzから約15MHzの周波数範囲で動作させるとよい。第二のRF源を約0ワットから約1000ワットの電力範囲で動作させるとよく、あるいは、第二のRF源を約0ワットから約500ワットの電力範囲で動作させるとよい。
さまざまな実施形態で、蒸着プロセスの間に、単一のRF源を用いてもよく、および/または、RF源の組み合わせを用いてもよい。
一実施形態では、処理チャンバの中にシャワープレートアセンブリを設け、上部電極に結合させるとよい。他の実施形態では、さまざまなガス供給手段を設けてもよい。例えば、シャワープレートアセンブリは、中心領域122、周辺領域124、および副領域126を備えるとよく、シャワープレートアセンブリをガス供給システムに結合させるとよい。蒸着プロセスの間、一つまたはそれ以上のプロセスガスを中心領域に供給してもよく、蒸着プロセスの間、一つまたはそれ以上のプロセスガスを周辺領域に提供してもよく、一つまたはそれ以上のプロセスガスを副領域に提供してもよい。さまざまな領域に供給されるプロセスガスは、同じであってもよく、異なっていてもよい
あるいは、中心領域と周辺領域とを単一の一次領域として一緒に結合させてもよく、ガス供給システムは、第一のプロセスガスおよび/または第二のプロセスガスをこの一次領域に提供すればよい。他の実施形態では、どの領域を一緒に結合させてもよく、ガス供給システムは、一つまたはそれ以上のプロセスガスを提供するとよい。
蒸着プロセスの間、プロセスガスはシリコン含有前駆体、炭素含有前駆体、酸素含有ガス、窒素含有ガスまたは不活性ガス、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。シリコン含有前駆体および炭素含有ガスの流量は約0sccmから約5000sccmの範囲にあるとよい。シリコン含有前駆体はモノシラン(SiH)、オルトケイ酸テトラエチル(TEOS)、モノメチルシラン(1MS)ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMTCS)、テトラメチルシクロテトラシラン(TMCTS)またはジメチルジメトキシシラン(DMDMOS)、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。炭素含有ガスはCH、C、C、C、CまたはCOH、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。酸素含有ガスはO、CO、NO、NOまたはCO、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。窒素含有ガスは、NまたはNH、あるいはそれらの組み合わせを含むとよい。不活性ガスはArまたはHe、あるいはそれらの組み合わせの少なくとも一つを含むとよい。不活性ガスは約0sccmから約10000sccmまで変化する流量を有するとよい。あるいは、不活性ガスは約10sccmから約5000sccmまで変化する流量を有するとよい。
基材ホルダに温度制御システムを結合させてもよく、蒸着プロセスの間、温度制御システムを用いて基材ホルダ温度を制御してもよい。基材ホルダ温度を約0℃から約500℃の範囲にするとよく、あるいは基材ホルダ温度を約200℃から約500℃の範囲にするとよい。例えば、基材ホルダ温度を約250℃から約400℃の範囲にするとよい。チャンバ壁に温度制御システムを結合させてもよく、温度制御システムを用いてチャンバ壁の温度を制御してもよい。例えば、チャンバ壁の温度を約0℃から約500℃の範囲にするとよい。さらに、シャワープレートアセンブリに温度制御システムを結合させてもよく、温度制御システムを用いてシャワープレートアセンブリの温度を制御してもよい。例えば、シャワープレートアセンブリの温度を約0℃から約500℃の範囲にするとよい。
さらに、チャンバに圧力制御システムを結合させてもよく、蒸着プロセスの間、圧力制御システムを用いてチャンバ圧力を制御してもよい。チャンバ圧力を約0.1mTorrから約100Torrの範囲にするとよい。例えば、チャンバ圧力を約0.1Torrから約20Torrの範囲にするとよい。
蒸着プロセスの間、ESC電圧は必要ない。あるいは、蒸着プロセスの間、ESC電圧を用いてもよい。
例えば、TERA層は、約150Åから約10000Åの厚さを有するとよい。TERA層は、酸化物層または他の種類の層の上に蒸着させるとよい。TERA層は、248nm、193nmおよび157nmの少なくとも一つの波長で測定したとき、約1.5から約2.5の範囲の屈折率(n)と、248nm、193nmおよび157nmの少なくとも一つの波長で測定したとき、約0.1から約0.9の範囲の吸光係数(k)とを有する材料を含むとよい。蒸着速度は、約100Å/分から約10000Å/分の範囲にするとよい。蒸着時間は、約5秒から約180秒まで変化させるとよい。本発明では、基材間の厚さの均一性は、1σで1%未満にするとよい。
440で、プロセス後チャンバ清掃プロセスを実行するとよい。他の実施形態では、プロセス後チャンバ清掃プロセスは必要ない。
プロセス後チャンバ清掃プロセスの間、ギャップを確定するとよく、ギャップを約1mmから約200の範囲にするとよく、あるいは、ギャップを約2mmから約150mmの範囲にするとよい。さらに、第一の時間の間に第一のギャップを確定するとよく、第二の時間の間に第二のギャップを確定するとよい。他の実施形態では、ギャップサイズを固定するとよい。他の実施形態では、プロセス後チャンバ清掃プロセスの間に、ギャップサイズを二回以上変化させるとよい。
第一のギャップを約2mmから約200mmまで変化させるとよく、第二のギャップを約2mmから約200mmまで変化させるとよい。あるいは、第一のギャップを約4mmから約120mmまで変化させるとよく、第二のギャップを約10mmから約200mmまで変化させるとよい。一例では、第一のギャップを約10mmから約50mmまで変化させるとよく、第二のギャップを約10mmから約125mmに変化させるとよい。あるいは、清掃後プロセス全体にわたって、圧力、RF電力およびガス流量を変化させるとよい。あるいは、RFとともに、またはRFの代わりに、リモートプラズマを用いるとよい。
第一の時間の長さは、約0秒から約3000秒まで変化させるとよく、第二の時間の長さは、約0秒から約3000秒まで変化させるとよい。あるいは、第一の時間の長さは、約0秒から約2000秒まで変化させるとよく、第二の時間間隔を約0秒から約2000秒まで変化させるとよい。一例では、第一の時間の長さは、約20秒から約1200秒まで変化させるとよく、第二の時間の長さは、約20秒から約1200秒まで変化させるとよい。
プロセス後チャンバ清掃プロセスの間、第一のRF源を用いて上部電極にRF信号を供給するとよい。例えば、第一のRF源は、約0.1MHzから約200MHzの周波数範囲で動作させるとよい。あるいは、第一のRF源は、約1MHzから約100MHzの周波数範囲で動作させるとよく、または、第一のRF源は、約2MHzから約60MHzの周波数範囲で動作させるとよい。第一のRF源は、約0ワットから約10000ワットの電力範囲で動作させるとよく、あるいは、第一のRF源は、約10ワットから約5000ワットの電力範囲で動作させるとよい。別の実施形態では、第一のRF源は、約100ワットから約2000ワットの電力範囲で動作させるとよい。
あるいは、プロセス後チャンバ清掃プロセスの間、第二のRF源を用いて基材ホルダ中の下部電極にRF信号を供給するとよい。例えば、第二のRF源は、約0.1MHzから約200MHzの周波数範囲で動作させるとよい。あるいは、第二のRF源は、約0.2MHzから約30MHzの周波数範囲で動作させるとよく、または、第二のRF源は、約0.3MHzから約15MHzの周波数範囲で動作させるとよい。第二のRF源は、約0ワットから約1000ワットの電力範囲で動作させるとよく、あるいは、第二のRF源は、約0ワットから約500ワットの電力範囲で動作させるとよい。
さまざまな実施形態で、プロセス後チャンバ清掃プロセスの間に、単一のRF源を用いてもよく、および/または、RF源の組み合わせを用いてもよい。
一実施形態では、処理チャンバの中にシャワープレートアセンブリを設け、上部電極に結合させるとよい。他の実施形態では、さまざまなガス供給手段を設けてもよい。例えば、シャワープレートアセンブリは、中心領域122、周辺領域124、および副領域126を備えるとよく、シャワープレートアセンブリをガス供給システムに結合させるとよい。一つまたはそれ以上のプロセスガスを中心領域に供給するとよく、一つまたはそれ以上のプロセスガスを周辺領域に供給するとよい。プロセス後チャンバ清掃プロセスの間、一つまたはそれ以上のプロセスガスを副領域に供給するとよい。さまざまな領域に供給されるプロセスガスは、同じであってもよく、異なっていてもよい
あるいは、中心領域と周辺領域とを単一の一次領域として一緒に結合させてもよく、ガス供給システムは、第一のプロセスガスおよび/または第二のプロセスガスをこの一次領域に提供すればよい。他の実施形態では、どの領域を一緒に結合させてもよく、ガス供給システムは、一つまたはそれ以上のプロセスガスを供給してもよい。
プロセス後チャンバ清掃プロセスの間、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つまたはそれ以上の組み合わせを用いるとよい。フッ素含有ガスはNF、CF、C、C、C、SF、CHF、FまたはCOF、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。酸素含有ガスは、O、O、CO、NO、NOまたはCO、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。不活性ガスは、アルゴン、ヘリウムまたは窒素、あるいはそれらの二つまたはそれ以上の組み合わせを含むとよい。
さらに、フッ素含有ガスは約0sccmから約10000sccmまで変化する流量を有するとよく、酸素含有ガスは約0sccmから約10000sccmまで変化する流量を有するとよく、不活性ガスは約0sccmから約10000sccmまで変化する流量を有するとよい。あるいは、フッ素含有ガスは約10sccmから約5000sccmまで変化する流量を有するとよく、酸素含有ガスは約10sccmから約5000sccmまで変化する流量を有するとよく、不活性ガスは約10sccmから約5000sccmまで変化する流量を有するとよい。
基材ホルダに温度制御システムを結合してもよく、プロセス後チャンバ清掃プロセスの間、温度制御システムを用いて基材ホルダ温度を制御してもよい。基材ホルダ温度は、約0℃から約500℃の範囲にするとよく、あるいは、基材ホルダ温度は、約200℃から約500℃の範囲にするとよい。例えば、基材ホルダ温度は、約250℃から約400℃の範囲にするとよい。チャンバ壁に温度制御システムを結合させてもよく、温度制御システムを用いてチャンバ壁の温度を制御してもよい。例えば、チャンバ壁の温度は、約0℃から約500℃の範囲にするとよい。さらに、シャワープレートアセンブリに温度制御システムを結合させてもよく、温度制御システムを用いてシャワープレートアセンブリの温度を制御するとよい。例えば、シャワープレートアセンブリの温度は、約0℃から約500℃の範囲にするとよい。
さらに、チャンバに圧力制御システムを結合させてもよく、プロセス後チャンバ清掃プロセスの間、圧力制御システムを用いてチャンバ圧力を制御してもよい。チャンバ圧力は、約0.1mTorrから約100Torrの範囲にするとよい、
プロセス後チャンバ清掃プロセスの間、ESC電圧は必要ない。あるいは、プロセス後チャンバ清掃プロセスの間、ESC電圧を用いてもよい。
手順400は、450で終了する。
図5は、本発明の方法を検証するために実行されたプロセスの要約結果の表を例示する。複数のプロセス例を実行し、結果のウエハ間簡略化(FM)データ、厚さばらつきデータを調べた。さまざまな初期清掃処方およびさまざまな前塗布処方を用いて実行された12の異なる実験について結果を示す。各実験で、6枚のウエハを用いた。
図6は、本発明の方法を検証するために実行されたプロセスの異物(FM)データのグラフの例を示す。この結果は、実行された12の実験に関する広い範囲の結果を示す。各実験では6枚のウエハが用いられ、データポイントは実験番号とウエハ番号とを用いて(すなわち1‐1)区別される。いくつかの実験(5〜12)では、ウエハのいくつかまたはすべての異物カウントは30未満であった。実験のすべてで、ウエハの一つまたはそれ以上の異物カウントは15未満であった。一実験(1)で、ウエハの一つまたはそれ以上の異物カウントは100より大きかった。一実施形態では、高FMデルタ値は約80より小さくするとよく、中央デルタ値は約20より小さくするとよい。
図7は、本発明の方法を検証するために実行されたプロセスの平均厚さのグラフの例を示す。この結果は、実行された12の実験に関する広い範囲の結果を示す。いくつかの実験(9〜12)では、厚さの範囲は2nm未満であった。一実施形態では、厚さの偏差の目標値を1σ値として約1.0%未満にするとよい。本発明では、実際の蒸着プロセスの前に調整プロセスを実行することによって、ロット内の厚さのバラツキをできるだけ小さくする。
KLA‐テンコー(KLA‐Tencor)サーフスキャンSPI(Surfscan SPI)を用いてFMデータを採取した。FMデータは、満足すべき粒子カウントを実現するとよいことを示した。測定データは、厚さのばらつきの1σ偏差が1パーセント未満であることを示した。
図8Aは、後清掃なしで、モニタウエハより前の蒸着に由来する蒸着物がチャンバ壁およびシャワーヘッドの上に残っているままのチャンバを用いる基材の上の粒子汚染の例となる図を示す。チャンバ内で発生する粒子(サイズ>0.16μm)の量を測定するために、清掃されなかったチャンバの中に試験基材が挿入され、時間の長さが計測された。清掃されなかったチャンバを用いたとき、高い粒子カウント(図8Aに示されている)が得られた。例えば、13.4時間経過後、基材あたり約286粒子の粒子カウントが測定された。この結果は、清掃されなかったチャンバ内では、毎時約21.3個の粒子が発生していることを示した。
図8Bは、本発明の実施形態による基材の上の粒子汚染の例となる図を示す。一実施形態では、通常の蒸着の後、チャンバの中で後清掃を実行するとよい。例えば、プラズマ後清掃および/またはリモートプラズマ清掃およびそれらの組み合わせを実行するとよい。清掃されたチャンバ中で発生する粒子(サイズ>0.16μm)の量を測定するために、清掃されたチャンバの中に試験基材が挿入され、時間の長さが測定された。調整されたチャンバを用いたとき、低い粒子カウント(図8Bに示されている)が得られた。例えば、13.4時間経過後、基材あたり約44粒子の粒子カウントが測定された。この結果は、清掃されたチャンバ内では毎時約3.3個の粒子が発生していることを示した。
本発明は、一様であり、実質的に異物(汚染物質)のないTERA層などの層を蒸着させるための方法および装置を提供する。
一実施形態では、初期清掃プロセスおよび後清掃プロセスは、主エッチング工程およびオーバーエッチング工程を含むとよい。あるいは、清掃プロセスは、さまざまな数の工程、および他のプロセスを含むとよい。一つの清掃プロセス例では、第一の工程(主エッチング)は、以下のプロセス条件を含むとよい。すなわち、NF流量は約100sccmから約1000sccmまで、あるいは約200sccmから約600sccmまで変化させるとよく、O流量は約50sccmから約500sccmまで、あるいは約225sccmから約275sccmまで変化させるとよく、He流量は約600sccmから約1000sccmまで、あるいは約720sccmから約880sccmまで変化させるとよく、上部RF(TRF)電力は約800Wから約1200Wまで、あるいは約900Wから約1100Wまで変化させるとよく、チャンバ圧力は約0.4Torrから約0.6Torrまで、あるいは約0.45Torrから約0.55Torrまで変化させるとよく、ギャップは約10mmから約30mmまで変化させるとよく、あるいは約15mmから約21mmまで変化させるとよい。さらに、第二の工程(オーバーエッチング)は、以下のプロセス条件を含むとよい。すなわち、NF流量は約450sccmから約550sccmまで、あるいは約475sccmから約525sccmまで変化させるとよく、O流量は約200sccmから約300sccmまで、あるいは約225sccmから約275sccmまで変化させるとよく、He流量は約600sccmから約1000sccmまで、あるいは約720sccmから約880sccmまで変化させるとよく、上部RF(TRF)電力は約100Wから約300Wまで、あるいは約150Wから約250Wまで変化させるとよく、チャンバ圧力は約0.4Torrから約0.6Torrまで、あるいは約0.45Torrから約0.55Torrまで変化させるとよく、ギャップは約80mmから約160mmまで、あるいは約100mmから約130mmまで変化させるとよい。
別の清掃プロセスの例では、第一の工程(主エッチング)は、以下のプロセス条件を含むとよい。すなわち、NF流量は約450sccmから約675sccmまで、あるいは約560sccmから約620sccmまで変化させるとよく、O流量は約140sccmから約300sccmまで、あるいは約160sccmから約210sccmまで変化させるとよく、He流量は約800sccmから約1200sccmまで、あるいは約900sccmから約1100sccmまで変化させるとよく、上部RF(TRF)電力は約200Wから約600Wまで、あるいは約300Wから約500Wまで変化させるとよく、下部RF(BRF)電力は約0Wから約200Wまで、あるいは約20Wから約120Wまで変化させるとよく、チャンバ圧力は約0.4Torrから約0.6Torr、あるいは約0.45Torrから約0.55Torrまで変化させるとよく、ギャップは約5mmから約60mmまで、あるいは約15mmから約30mmまで変化させるとよい。さらに、第二の工程(オーバーエッチング)は、以下のプロセス条件を含むとよい。すなわちNF流量は約100sccmから約500sccmまで、あるいは約200sccmから約400sccm変化させるとよく、O流量は約10sccmから約300sccmまで、あるいは、約60sccmから約140sccmまで変化させるとよく、Ar流量は約1000sccmから約2000sccmで、あるいは約1300sccmから約1700sccmまで変化させるとよく、上部RF(TRF)電力は約0.0Wから約300Wまで、あるいは約0.0Wから約250Wまで変化させるとよく、チャンバ圧力は約3Torrから約5Torr、あるいは約3.5Torrから約4.5Torrまで変化させるとよく、ギャップは約80mmから約160mmまで、あるいは約100mmから約130mmまで変化させるとよい。他の実施形態では、清掃プロセス時にリモートプラズマを用いてもよく、リモートプラズマ発生器に供給される電力は約0Wから約3000Wまで、あるいは約1000Wから約2700Wまで変化させるとよい。
一実施形態では、前塗布プロセスは、SiC材料またはSiO材料などの単一塗布材料を含む蒸着プロセスを含むとよい。あるいは、前塗布プロセスは、さまざまなコーティング材料、さまざまな数の層、および他のプロセスを含むことがある蒸着プロセスを含むとよい。
前塗布プロセスの一例では、第一の材料(すなわち、SiC材料)を用いるとよく、前塗布プロセスは以下のプロセス条件を含むとよい。すなわち、3MS流量は約50sccmから約300sccmまで、あるいは約100sccmから約200sccmまで変化させるとよく、He流量は約1000sccmから約2000sccmまで、あるいは約1100sccmから約1300sccmまで変化させるとよく、上部RF(TRF)電力は約600Wから約1000Wまで、あるいは約700Wから約900Wまで変化させるとよく、チャンバ圧力は約4Torrから約10Torrまで、あるいは約6Torrから約8Torrまで変化させるとよく、ギャップは約5mmから約50mmまで、あるいは約10mmから約30mmまで変化させるとよい。
前塗布プロセスの別の例では、第二の材料(すなわちSiO材料)を用いるとよく、前塗布プロセスは、以下のプロセス条件を含むとよい。すなわち、SiH流量は約20sccmから約300sccmまで、あるいは約50sccmから約150sccmまで変化させるとよく、NO流量は約300sccmから約1000sccmまで、あるいは約400sccmから約600sccmまで変化させるとよく、上部RF(TRF)電力は約200Wから約1000Wまで、あるいは約300Wから約500Wまで変化させるとよく、チャンバ圧力は約1Torrから約5Torrまで、あるいは約2Torrから約4Torrまで変化させるとよく、ギャップは約5mmから約50mmまで、あるいは約10mmから約30mmまで変化させるとよい。
清掃および前塗布プロセスの間、基材ホルダ温度は約250℃から約350℃まで、あるいは約290℃から約330℃まで変化させるとよい。
上記では、本発明の実施形態の特定の例だけを詳しく説明したが、本発明の新規な開示および利点から実質的に逸脱することなく、実施形態の例において多くの変更形が可能であることは、当業者に容易に認識される。従って、すべてのそのような変更形は本発明の範囲内に含まれものとする。
本発明の実施形態によるPECVDシステムの簡略化ブロック図である。 本発明の実施形態による半導体処理システムの簡略化ブロック図である。 図2Aに例を示した半導体処理システム中の簡略化ウエハフロー図である。 本発明の実施形態による別の半導体処理システムのための簡略化ダイアグラムである。 図3Aに例を示した半導体処理システムによる簡略化ウエハフロー図である、 本発明の実施形態によって基材の上に蒸着する粒子の量を減らすための手順の簡略化フロー図である。 本発明の方法を検証するために実行されたプロセス例のデータの表である。 本発明の方法を検証するために実行されたプロセスの異物(FM)データのグラフである。 本発明の方法を検証するために実行されたプロセスの厚さデータのグラフである。 処理していないチャンバを用いる基材の上の粒子汚染の例となる図である。 本発明の実施形態による基材の上の粒子汚染の例となる図である。

Claims (34)

  1. プラズマ促進化学蒸着(PECVD)システムを動作させるための方法であって、
    チャンバ調整プロセスを実行する工程であって、前記チャンバ調整プロセスは、チャンバ清掃プロセス、またはチャンバ前塗布プロセス、あるいはそれらの組み合わせを含み、前記チャンバ清掃プロセスは、使用の場合、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用い、前記チャンバ前塗布プロセスは、使用の場合、シリコン含有前駆体、炭素含有前駆体または不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる工程、
    前記処理チャンバ処理チャンバ中の基材ホルダの上に基材を配置する工程、
    前記基材の上に膜を蒸着させる工程であって、前記蒸着プロセスの間に、前駆体を含むプロセスガスを前記処理チャンバ処理チャンバに供給する工程、および
    前記基材を前記処理チャンバ処理チャンバから取り出す工程
    を含む方法。
  2. 前記処理チャンバ処理チャンバ中の前記基材ホルダの上に新しい基材を配置する工程、
    前記新しい基材の上に膜を蒸着させる工程であって、前記蒸着プロセスの間に前記処理チャンバ処理チャンバに前駆体を含むプロセスガスを供給する工程、および
    前記新しい基材を前記処理チャンバ処理チャンバから取り出す工程
    をさらに含む、請求項1に記載の方法。
  3. プロセス後チャンバ清掃プロセスを実行する工程であって、前記プロセス後チャンバ清掃プロセスは、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる工程
    をさらに含む、請求項2に記載の方法。
  4. 前記プロセス後チャンバ清掃プロセスは、NF、CF、C、C、C、SF、CHF、FまたはCOF、あるいはそれらの二つ以上の組み合わせを含むフッ素含有ガスを用いる、請求項3に記載の方法。
  5. 前記プロセス後チャンバ清掃プロセスは、HO、NO、NO、O、O、COまたはCO、あるいはそれらの二つ以上の組み合わせを含む酸素含有ガスを用いる、請求項3に記載の方法。
  6. 前記プロセス後チャンバ清掃プロセスは、Ar、HeまたはN、あるいはそれらの二つ以上の組み合わせを含む不活性ガスを用いる、請求項3に記載の方法。
  7. 前記プロセス後チャンバ清掃プロセスを実行する前に、前記基材ホルダの上にダミー基材を配置する工程、および
    前記プロセス後チャンバ清掃プロセスを実行した後に、前記ダミー基材を取り出す工程
    をさらに含む、請求項3に記載の方法。
  8. 前記基材の上の前記膜は、可変エッチング耐性ARC(TERA)材料を含み、前記新しい基材の上の前記膜は、実質的に同じTERA材料を含む、請求項2に記載の方法。
  9. 前記基材の上の前記膜は、可変エッチング耐性ARC(TERA)材料を含む、請求項1に記載の方法。
  10. 前記チャンバ調整プロセスを実行する前に、前記基材ホルダの上にダミー基材を配置する工程、および
    前記チャンバ調整プロセスを実行した後に、前記ダミー基材を取り出す工程
    をさらに含む、請求項1に記載の方法。
  11. 前記チャンバ調整プロセスは、前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、NF、CF、C、C、C、SF、CHF、FまたはCOF、あるいはそれらの二つ以上の組み合わせを含むフッ素含有ガスを使用する、請求項1に記載の方法。
  12. 前記チャンバ調整プロセスは、前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、HO、NO、NO、O、O、COまたはCO、あるいはそれらの二つ以上の組み合わせを含む酸素含有ガスを使用する、請求項1に記載の方法。
  13. 前記チャンバ調整プロセスは、前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは、モノシラン(SiH)、オルトケイ酸テトラエチル(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMTCS)、テトラメチルシクロテトラシラン(TMCTS)またはジメチルジメトキシシラン(DMDMOS)、あるいはそれらの二つ以上の組み合わせを含む前記シリコン含有前駆体を使用する、請求項1に記載の方法。
  14. 前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは、CH、C、C、C、CまたはCOH、あるいはそれらの二つ以上の組み合わせを含む前記炭素含有ガスを使用する、請求項1に記載の方法。
  15. 前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、Ar、HeまたはN、あるいはそれらの二つ以上の組み合わせを含む前記不活性ガスを使用する、請求項1に記載の方法。
  16. 前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは、Ar、HeまたはN、あるいはそれらの二つ以上の組み合わせを含む前記不活性ガスを使用する、請求項1に記載の方法。
  17. 前記PECVDシステムはRF源を含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、
    前記RF源を約0.1MHzから約200MHzの周波数範囲で動作させる工程、および
    前記RF源を約0ワットから約10000ワットの電力範囲で動作させる工程
    をさらに含む、請求項1に記載の方法。
  18. 前記PECVDシステムはRF源を含み、前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは、
    前記RF源を約0.1MHzから約200MHzの周波数範囲で動作させる工程、および
    前記RF源を約0.1ワットから約10000ワットの電力範囲で動作させる工程
    をさらに含む、請求項1に記載の方法。
  19. 前記PECVDシステムは、上部電極および平行移動可能な基材ホルダを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは、
    第一の時間の間に、前記上部電極と前記平行移動可能な基材ホルダとの間に第一のギャップを確定させる工程、および
    第二の時間の間に、前記上部電極と前記平行移動可能な基材ホルダとの間に第二のギャップを確定させる工程
    をさらに含む、請求項1に記載の方法。
  20. 前記第一のギャップは、前記第二のギャップより小さいか、または等しい、請求項19に記載の方法。
  21. 前記第二のギャップは、前記第一のギャップより小さいか、または等しい、請求項19に記載の方法。
  22. 前記PECVDシステムは基材ホルダに結合された温度制御システムを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは前記基材ホルダ温度を約0℃と約500℃との間で制御する工程をさらに含む、請求項1に記載の方法。
  23. 前記PECVDシステムは基材ホルダに結合された温度制御システムを含み、前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは前記基材ホルダ温度を約0℃と約500℃との間で制御する工程をさらに含む、請求項1に記載の方法。
  24. 前記PECVDシステムは前記チャンバに結合された圧力制御システムを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは前記チャンバ圧力を約0.1mTorrと約100Torrとの間で制御する工程をさらに含む、請求項1に記載の方法。
  25. 前記PECVDシステムは前記チャンバに結合された圧力制御システムを含み、前記チャンバ調整プロセスは前記チャンバ前塗布プロセスを含み、前記チャンバ前塗布プロセスは前記チャンバ圧力を約0.1mTorrと約100Torrとの間で制御する工程をさらに含む、請求項1に記載の方法。
  26. 前記PECVDシステムはチャンバ壁に結合された温度制御システムを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは前記チャンバ壁温度を約0℃と約500℃との間で制御する工程をさらに含む、請求項1に記載の方法。
  27. 前記PECVDシステムはシャワープレートアセンブリに結合された温度制御システムを含み、前記チャンバ調整プロセスは前記チャンバ清掃プロセスを含み、前記チャンバ清掃プロセスは前記シャワープレートアセンブリ温度を約0℃と約500℃との間で制御する工程をさらに含む、請求項1に記載の方法。
  28. 前記膜は、248nm、193nmおよび157nmの少なくとも一つの波長で測定されたとき約1.5から約2.5の範囲の屈折率(n)と、248nm、193nmおよび157nmの少なくとも一つの波長で測定されたとき約0.1から約0.9の範囲の吸光係数(k)とを有する材料を含む、請求項1に記載の方法。
  29. プラズマ処理チャンバ処理チャンバ、
    前記プラズマ処理チャンバ処理チャンバ内に構成された基材ホルダ、および
    チャンバ調整プロセスを実行するための手段であって、前記チャンバ調整プロセスは、チャンバ清掃プロセスまたはチャンバ前塗布プロセス、あるいはそれらの組み合わせを含み、前記チャンバ清掃プロセスは、使用の場合、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用い、前記チャンバ前塗布プロセスは、使用の場合、シリコン含有前駆体、炭素含有前駆体または不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる手段
    を含むプラズマ促進化学蒸着(PECVD)システム。
  30. 前記プラズマ処理チャンバ処理チャンバ中の前記基材ホルダの上に新しい基材を配置するための手段、
    前記新しい基材の上に膜を蒸着させるための手段であって、前記蒸着プロセスの間に前駆体を含むプロセスガスを前記処理チャンバ処理チャンバに供給する手段、および
    前記新しい基材を前記プラズマ処理チャンバ処理チャンバから取り出すための手段
    をさらに含む請求項29に記載のシステム。
  31. プロセス後チャンバ清掃プロセスを実行するための手段であって、前記プロセス後チャンバ清掃プロセスは、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる手段
    をさらに含む、請求項29に記載のシステム。
  32. 前記プラズマ処理チャンバ処理チャンバ中の前記基材ホルダの上にダミー基材を配置するための手段、
    プロセス後チャンバ清掃プロセスを実行するための手段であって、前記プロセス後チャンバ清掃プロセスは、フッ素含有ガス、酸素含有ガスまたは不活性ガス、あるいはそれらの二つ以上の組み合わせを用いる手段、および
    プロセス後チャンバ清掃プロセスの後、前記ダミー基材を前記基材ホルダから取り出すための手段
    をさらに含む、請求項31に記載のシステム。
  33. 前記膜は、可変エッチング耐性ARC(TERA)材料を含む、請求項29に記載のシステム。
  34. 前記プラズマ処理チャンバ処理チャンバ中の前記基材ホルダの上にダミー基材を配置するための手段、および
    チャンバ調整プロセスの後、前記ダミー基材を前記基材ホルダから取り出すための手段
    をさらに含む、請求項29に記載のシステム。
JP2007506172A 2004-03-30 2005-02-11 蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法 Pending JP2007533848A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/812,354 US20050221020A1 (en) 2004-03-30 2004-03-30 Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
PCT/US2005/004916 WO2005103327A1 (en) 2004-03-30 2005-02-11 Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film

Publications (2)

Publication Number Publication Date
JP2007533848A true JP2007533848A (ja) 2007-11-22
JP2007533848A5 JP2007533848A5 (ja) 2008-03-06

Family

ID=34960979

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007506172A Pending JP2007533848A (ja) 2004-03-30 2005-02-11 蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法

Country Status (4)

Country Link
US (2) US20050221020A1 (ja)
JP (1) JP2007533848A (ja)
TW (1) TWI304447B (ja)
WO (1) WO2005103327A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008538127A (ja) * 2005-03-21 2008-10-09 東京エレクトロン株式会社 プラズマ加速原子層成膜のシステムおよび方法
JP2013509701A (ja) * 2009-10-30 2013-03-14 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング 堆積物の除去方法
KR20190116088A (ko) * 2018-04-03 2019-10-14 도쿄엘렉트론가부시키가이샤 클리닝 방법
WO2022066503A1 (en) * 2020-09-28 2022-03-31 Applied Materials, Inc. Method of using dual frequency rf power in a process chamber
JP2022533362A (ja) * 2019-05-22 2022-07-22 アプライド マテリアルズ インコーポレイテッド 高温腐食環境用の基板支持体カバー

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US7879409B2 (en) * 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP4357434B2 (ja) * 2005-02-25 2009-11-04 株式会社東芝 半導体装置の製造方法
JP5276979B2 (ja) * 2005-06-29 2013-08-28 テル・ソーラー・アクチェンゲゼルシャフト 平坦基板を製造する方法
US8057603B2 (en) * 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20100178017A1 (en) * 2006-10-06 2010-07-15 Boris Kharas Method for Improving Refractive Index Control in PECVD Deposited a-SiNy Films
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
JPWO2008050596A1 (ja) * 2006-10-25 2010-02-25 パナソニック株式会社 プラズマドーピング方法及びプラズマドーピング装置
US8047653B2 (en) * 2006-11-10 2011-11-01 Sumitomo Electric Industries, Ltd. Si-O containing hydrogenated carbon film, optical device including the same, and method for manufacturing the Si-O containing hydrogenated carbon film and the optical device
US7989354B2 (en) * 2007-06-08 2011-08-02 Tokyo Electron Limited Patterning method
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
US8105648B2 (en) * 2008-05-13 2012-01-31 United Microelectronics Corp. Method for operating a chemical deposition chamber
US20100089978A1 (en) * 2008-06-11 2010-04-15 Suss Microtec Inc Method and apparatus for wafer bonding
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
CA2744032C (en) * 2008-11-20 2018-07-31 Oerlikon Trading Ag, Trubbach Cleaning method for coating systems
CN101752457B (zh) * 2008-12-18 2011-11-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种太阳能电池制造方法及设备
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) * 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
KR101837648B1 (ko) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
WO2012134083A2 (en) 2011-03-25 2012-10-04 Lg Electronics Inc. Plasma enhanced chemical vapor deposition apparatus and method for controlling the same
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
CN102877041B (zh) * 2011-07-14 2014-11-19 中国科学院微电子研究所 薄膜沉积方法以及半导体器件制造方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
KR20140110080A (ko) * 2012-01-09 2014-09-16 어플라이드 머티어리얼스, 인코포레이티드 열화를 방지하기 위해 uv 챔버 광학 컴포넌트들을 시즈닝하기 위한 방법
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
CN103219227A (zh) * 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
JP6422262B2 (ja) * 2013-10-24 2018-11-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
CN104752142B (zh) * 2013-12-31 2018-03-06 北京北方华创微电子装备有限公司 调控等离子体反应腔室环境的方法
US9328416B2 (en) * 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
WO2015122981A1 (en) * 2014-02-11 2015-08-20 Applied Materials, Inc. Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
CN105097485B (zh) * 2014-05-05 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 腔室环境调控方法
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10192717B2 (en) * 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
CN105448634B (zh) * 2014-08-28 2017-10-24 北京北方华创微电子装备有限公司 一种腔室环境的控制方法
JP6298391B2 (ja) 2014-10-07 2018-03-20 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10316408B2 (en) * 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
CN104867804B (zh) * 2015-03-30 2017-02-01 上海华力微电子有限公司 晶片刻蚀腔室的清洗方法
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10026638B2 (en) * 2016-12-15 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma distribution control
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6779165B2 (ja) 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US20180294197A1 (en) * 2017-04-06 2018-10-11 Lam Research Corporation System design for in-line particle and contamination metrology for showerhead and electrode parts
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN111235553B (zh) * 2018-11-29 2021-04-20 中国科学院大连化学物理研究所 一种一体化电极及在等离子体增强化学气相沉积设备中的应用
JP7355615B2 (ja) * 2019-11-25 2023-10-03 東京エレクトロン株式会社 基板洗浄装置及び基板洗浄方法
KR20220134688A (ko) * 2020-02-04 2022-10-05 램 리써치 코포레이션 플라즈마 프로세싱 시스템을 위한 rf 신호 필터 배열
JP7394668B2 (ja) * 2020-03-13 2023-12-08 東京エレクトロン株式会社 温度制御方法およびプラズマ処理装置
US11996273B2 (en) * 2020-10-21 2024-05-28 Applied Materials, Inc. Methods of seasoning process chambers
US20230081862A1 (en) * 2021-09-10 2023-03-16 Tokyo Electron Limited Focus Ring Regeneration

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02240267A (ja) * 1989-03-14 1990-09-25 Fujitsu Ltd Cvd装置の残留ガス除去方法
JPH07263370A (ja) * 1994-03-17 1995-10-13 Tokyo Electron Ltd 熱処理装置
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JPH1096082A (ja) * 1996-06-14 1998-04-14 Applied Materials Inc 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用
JPH10317142A (ja) * 1997-05-15 1998-12-02 Tokyo Electron Ltd クリーニング方法
JP2000355768A (ja) * 1999-06-11 2000-12-26 Hitachi Kokusai Electric Inc プラズマcvd装置におけるクリーニング方法
JP2001242630A (ja) * 2000-01-10 2001-09-07 Internatl Business Mach Corp <Ibm> リソグラフィ構造
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2003100732A (ja) * 2001-09-26 2003-04-04 Nec Yamagata Ltd プラズマcvd装置のプリコート方法
JP2003197615A (ja) * 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US387656A (en) * 1888-08-14 Tereitoey
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5598341A (en) * 1995-03-10 1997-01-28 Advanced Micro Devices, Inc. Real-time in-line defect disposition and yield forecasting system
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JP3696983B2 (ja) * 1996-06-17 2005-09-21 キヤノン株式会社 プラズマ処理方法およびプラズマ処理装置
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6042887A (en) * 1998-01-12 2000-03-28 Taiwan Semiconductor Manufacturing Company Process for forming a sausg inter metal dielectric layer by pre-coating the reactor
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6459279B2 (en) * 1999-03-02 2002-10-01 Lockheed Martin Corporation Diagnostic testing equipment for determining properties of materials and structures of low observable vehicles
US6775707B1 (en) * 1999-10-15 2004-08-10 Fisher-Rosemount Systems, Inc. Deferred acknowledgment communications and alarm management
JP2001195890A (ja) * 2000-01-12 2001-07-19 Sharp Corp 不揮発性半導体メモリ装置の書込み方式および書込み回路
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
TW200733203A (en) * 2000-09-08 2007-09-01 Tokyo Electron Ltd Shower head structure and cleaning method thereof
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6654698B2 (en) * 2001-06-12 2003-11-25 Applied Materials, Inc. Systems and methods for calibrating integrated inspection tools
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7371436B2 (en) * 2003-08-21 2008-05-13 Tokyo Electron Limited Method and apparatus for depositing materials with tunable optical properties and etching characteristics
US20050100682A1 (en) * 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7356222B2 (en) * 2003-11-06 2008-04-08 Nippon Sheet Glass Co., Ltd. Wavelength selective optical device and method of tuning a wavelength characteristic of the same

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02240267A (ja) * 1989-03-14 1990-09-25 Fujitsu Ltd Cvd装置の残留ガス除去方法
JPH07263370A (ja) * 1994-03-17 1995-10-13 Tokyo Electron Ltd 熱処理装置
JPH07335626A (ja) * 1994-06-10 1995-12-22 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JPH1096082A (ja) * 1996-06-14 1998-04-14 Applied Materials Inc 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用
JPH10317142A (ja) * 1997-05-15 1998-12-02 Tokyo Electron Ltd クリーニング方法
JP2000355768A (ja) * 1999-06-11 2000-12-26 Hitachi Kokusai Electric Inc プラズマcvd装置におけるクリーニング方法
JP2001242630A (ja) * 2000-01-10 2001-09-07 Internatl Business Mach Corp <Ibm> リソグラフィ構造
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
JP2003100732A (ja) * 2001-09-26 2003-04-04 Nec Yamagata Ltd プラズマcvd装置のプリコート方法
JP2003197615A (ja) * 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008538127A (ja) * 2005-03-21 2008-10-09 東京エレクトロン株式会社 プラズマ加速原子層成膜のシステムおよび方法
JP2013509701A (ja) * 2009-10-30 2013-03-14 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング 堆積物の除去方法
KR20190116088A (ko) * 2018-04-03 2019-10-14 도쿄엘렉트론가부시키가이샤 클리닝 방법
KR102651309B1 (ko) * 2018-04-03 2024-03-26 도쿄엘렉트론가부시키가이샤 클리닝 방법
JP2022533362A (ja) * 2019-05-22 2022-07-22 アプライド マテリアルズ インコーポレイテッド 高温腐食環境用の基板支持体カバー
US11866821B2 (en) 2019-05-22 2024-01-09 Applied Materials, Inc. Substrate support cover for high-temperature corrosive environment
JP7427031B2 (ja) 2019-05-22 2024-02-02 アプライド マテリアルズ インコーポレイテッド 高温腐食環境用の基板支持体カバー
WO2022066503A1 (en) * 2020-09-28 2022-03-31 Applied Materials, Inc. Method of using dual frequency rf power in a process chamber
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber

Also Published As

Publication number Publication date
TWI304447B (en) 2008-12-21
US20050221020A1 (en) 2005-10-06
TW200535277A (en) 2005-11-01
WO2005103327A1 (en) 2005-11-03
US20080000423A1 (en) 2008-01-03

Similar Documents

Publication Publication Date Title
JP2007533848A (ja) 蒸着させた誘電体膜のウエハ間均一性および欠陥率を改善する方法
US11637037B2 (en) Method to create air gaps
KR102430939B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US7288284B2 (en) Post-cleaning chamber seasoning method
US7862683B2 (en) Chamber dry cleaning
JP2008511987A (ja) ソフトデチャックシーケンス
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
TW201836023A (zh) 用於介電薄膜的選擇性沉積之方法及設備
KR20080002855A (ko) 기판으로부터 산화물을 제거하기 위한 방법 및 시스템
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
KR101029286B1 (ko) 조정 가능한 광학적 특성 및 에칭 특성을 갖는 물질을 증착하는 방법 및 장치
US11666950B2 (en) Method of forming process film
US20070039924A1 (en) Low-temperature oxide removal using fluorine
TW201907480A (zh) 形成鈦矽化物區域之方法
WO2020243342A1 (en) High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
TW202419667A (zh) 半導體基板的背側層
TWI773910B (zh) 具有氣體分佈及個別泵送的批次固化腔室
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積
TW202247248A (zh) 原子層蝕刻中的蝕刻選擇性控制
CN116897409A (zh) 用于处理基板的方法及设备

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070910

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070921

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080111

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110506

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110531