JP2007507897A - 低濃度ドープシリコン基板のレーザー熱アニール - Google Patents

低濃度ドープシリコン基板のレーザー熱アニール Download PDF

Info

Publication number
JP2007507897A
JP2007507897A JP2006534012A JP2006534012A JP2007507897A JP 2007507897 A JP2007507897 A JP 2007507897A JP 2006534012 A JP2006534012 A JP 2006534012A JP 2006534012 A JP2006534012 A JP 2006534012A JP 2007507897 A JP2007507897 A JP 2007507897A
Authority
JP
Japan
Prior art keywords
substrate
radiation beam
annealing
preheating
image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006534012A
Other languages
English (en)
Other versions
JP5517396B2 (ja
JP2007507897A5 (ja
Inventor
タルウォー ソミット
オー トンプソン マイケル
グレック ボリス
エー マークル デイビット
Original Assignee
ウルトラテック インク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ウルトラテック インク filed Critical ウルトラテック インク
Publication of JP2007507897A publication Critical patent/JP2007507897A/ja
Publication of JP2007507897A5 publication Critical patent/JP2007507897A5/ja
Application granted granted Critical
Publication of JP5517396B2 publication Critical patent/JP5517396B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/60Preliminary treatment

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Photovoltaic Devices (AREA)

Abstract

室温で基板に実質的に吸収されないアニール放射線ビームを使用して基板のレーザー熱アニール(LTA)を行うための装置及び方法である。この方法は、ドープされていないシリコン基板等における長波長放射線(1μm以上)の吸収が温度に大きく依存するという事実を利用する。この方法は、長波長アニール放射線が実質的に吸収される臨界温度に基板を加熱した後、基板にアニール放射線を照射して基板をアニールすることができる温度を生成することを含む。

Description

本発明はレーザー熱アニールに関し、特に、常温ではアニール放射線ビームを効率的に吸収しない基板のレーザー熱アニールを行うための装置及び方法に関する。
レーザー熱アニール(laser thermal annealing:LTA)(「レーザー熱処理」ともいう)は、基板の表面の温度を迅速に上昇及び下降させて特性を変化させるために使用される技術である。一例として、集積装置または集積回路を形成するために使用されるトランジスタのソース、ドレインまたはゲート領域のドーパントのアニール及び/または活性化が挙げられる。また、LTAは、集積デバイスまたは回路のシリサイド領域を形成したり、ポリシリコンのランナー抵抗(runner resistance)を低下させたり、基板(またはウェハ)に物質を形成したり、基板から物質を除去するための化学反応を引き起こすためにも使用することができる。
LTAは、アニールサイクルを従来のアニール技術の1000倍に高速化させる可能性を有しており、シリコンウエハに対して使用されるアニールまたは活性化サイクルにおけるドーパント不純物の拡散を実質的に解消することができる。その結果、ドーパントプロファイルがより急峻になり、場合によっては活性化レベルが上昇する。その結果、高性能な(例えば、高速な)集積回路を得ることができる。
米国特許出願第10/287,864号は、COレーザー放射線を使用してドープシリコン基板のLTAを行うことを開示している。レーザー放射線は狭い線に集束され、ラスタパターンで基板上を一定の速度で走査される。しかし、この手法は、ドープされたシリコンにおけるレーザー放射線の吸収長が熱拡散距離よりも小さいかほぼ同等である比較的高濃度にドープされた基板(約3×1017原子/cmのドーパント濃度)のみに対して有効である。一方、低濃度ドープされた基板(約1×1016原子/cm以下のドーパント濃度)では、COレーザー放射線はエネルギーを基板に与えることなく基板を通過してしまう。
従って、加熱しない場合には基板を通過してしまう波長10.6μmのCOレーザー放射線等の放射線を使用して低濃度ドープされたシリコン基板のLTAを効率的に行う方法が求められている。
本発明の第1の態様は、表面を有する基板のレーザー熱アニールを行うための装置である。装置は、室温で基板に実質的に吸収されない波長を有する連続アニール放射線を発生することができるレーザーを含む。装置は、アニール放射線を受け、基板の表面に第1の像を形成するアニール放射線ビームを形成するアニール光学系も含み、第1の像は基板の表面上を走査される。装置は、走査時に加熱部分に入射したアニール放射線ビームが基板の表面近傍で実質的に吸収されるように、基板の少なくとも一部を臨界温度に加熱するための加熱装置も含む。一実施形態では、基板の一部の加熱は、波長の長いアニールビームに先行する波長の短いレーザーダイオードビームを使用することによって行うことができる。
本発明の第2の態様は、基板をレーザー熱処理するための方法である。方法は、室温で基板に実質的に吸収されない波長を有するレーザーからのアニール放射線を供給し、加熱部分でアニール放射線ビームが基板の表面近傍で実質的に吸収されるように、基板の少なくとも一部を臨界温度に加熱することを含む。方法は、基板上でアニール放射線ビームを走査する直前に基板の表面の一部を加熱することによって自己持続アニール条件を開始させることも含む。
各図面に示す各要素は説明のみのためのものであり、縮尺に必ずしも制限されるものではない。ある要素の縮尺は誇張され、その他の要素は最小となっている場合もある。各図面は、当業者が理解し、適切に実施することができる本発明の様々な実施態様を例示することを意図するものである。
本発明は基板のレーザー熱アニール(LTA)に関し、特に、低濃度ドープされたシリコンウエハ(基板)のLTAを行うための装置及び方法に関する。本明細書において、「低濃度ドープ」とは、約1016原子/cm以下のドーパント濃度を意味する。基板のドーパント濃度は、所望の抵抗率レべルと基板型(N型またはP型)を達成するための通常の基板製造に関連するものであってもよい。
以下の説明では、本発明のLTA装置の概括的な実施形態について述べるとともに、本発明によって作り出そうとする「自己持続アニール条件(self−sustaining annealing condition)」について述べる。その次に、本発明の各種実施形態について説明する。さらに、シリコン基板による放射線吸収の基本的な性質を示す多くの異なる基板温度のプロットに関連して本発明を説明する。次に、予熱放射線ビームの適切なパワーレベルを決定するための方法について説明し、その次に、予熱放射線ビームによって基板を加熱するために実施形態で使用される加熱レンズの例について説明する。最後に、予熱及びアニール放射線ビームの好ましい走査及び向きについて詳細に説明する。
1.概括的なLTA装置
図1Aは、本発明のLTA装置8の一実施形態をアニールされる基板10とともに示す断面図である。基板10は、上面12と、「ドープされていない(undoped)」、厳密に言うと、通常は非常に浅い領域のみに非常に高いドープレベルを含む非常に小さな接合領域またはデバイスよりも低濃度でドープされた本体(バルク)領域16を有する。参照文字Nは、基板の上面12に対する法線を示す。一実施形態では、基板10はシリコンウエハである。
LTA装置8は、光軸A1に沿って配置されたアニール放射線源26とLTAレンズ27とを有するLTA光学系25を含む。レンズ27は、アニール放射線源26から連続的な(非パルス状の)アニール放射線18を受け、基板の表面12において像30(例えば、線像)を形成する連続的なアニール放射線ビーム20を形成する。アニール放射線ビーム20は、表面法線Nと光軸A1に対して測定した場合の入射角θ20で上面12に入射する。
矢印22は、基板の表面12に対するアニール放射線ビーム20の移動方向の一例を示す。基板10はチャック28によって支持され、チャック28はアニール放射線ビーム20またはその他のリファレンスに対して選択された速度と方向でステージ(基板)を移動させるステージ駆動部29に動作的に接続された可動ステージMSによって支持されている。可動ステージMSの走査移動を矢印22’で示す。一実施形態では、ステージMSは少なくとも2次元で移動することができる。
一実施形態では、LTA装置8は反射放射線モニターM1と温度モニターM2とを含む。反射放射線モニターM1は、放射線20Rによって示されるように、基板の表面12によって反射された放射線を受けるように配置されている。温度モニターM2は基板の表面12の温度を測定するように配置され、一実施形態では、アニール放射線ビーム20によって像30が形成される位置またはその近傍において法線入射で基板と向き合うように表面法線Nに沿って配置されている。モニターM1,M2は、以下に詳述するように、反射放射線20Rの量および/または基板の表面12の測定温度の測定値に基づいてフィードバック制御を行うためのコントローラ(以下に述べる)に接続されている。
一実施形態では、LTA装置8は、アニール放射線源26、ステージ駆動部29、モニターM1,M2、レンズ27に含まれる入射パワーモニターとして機能する任意のモニターM3と動作的に接続されたコントローラー32を含む。コントローラー32は、例えば、メモリと接続されたマイクロプロセッサ、マイクロコントローラ、プログラマブルロジックアレイ(PLA)、フィールド・プログラマブルロジックアレイ(FPLA)、プログラムアレイロジック(PAL)、またはその他の制御装置(図示せず)であってもよい。コントローラー32は次の2つのモードで動作することができる:1)コントローラー32がアニール放射線ビーム20によって基板10に与えられるパワーとステージ駆動部29を介した走査速度を一定に維持する開ループ、2)コントローラー32が基板の表面12の最高温度または基板に吸収されるパワーを一定に維持する閉ループ。最高基板温度は、吸収パワーに応じて直接的に変化し、走査速度の平方根と逆比例して変化する。
一実施形態では、閉ループ制御は、走査速度の平方根に対する基板に入射したアニール放射線ビーム20の吸収パワーの比率を一定に維持するために使用され、アニール放射線ビーム20のパワー量をP20とし、反射パワーをP30とすると、吸収パワーはP=P20−P30である。アニール放射線ビームに対する基板10の走査速度をVとすると、比率P/V1/2を一定に維持して間接的に温度を一定に維持する。
直接的な最高温度測定に基づく閉ループ動作では、コントローラー32は温度モニターM2からの信号S2による最高基板温度などの信号(例えば、電気信号)を受信し、入射パワーまたは走査速度を制御して一定の最高基板温度を維持する。吸収パワーPは、反射放射線モニターM1が発生した信号S1による反射アニール放射線ビーム20RのパワーP30を、信号S4を介してアニール放射線ビームの一部をサンプリングして得られたアニール放射線ビーム20の入射パワーPから減算することによって得られる。
また、コントローラー32は、受信信号と入力パラメータ(例えば、所望の吸収パワーレベルと滞在時間)に基づいてパラメータを計算する。また、コントローラー32は、オペレータあるいはより大きなアセンブリまたは処理ツールの一部であるマスターコントローラー(図示せず)から外部信号S3を受信するように接続されている。このパラメータは、基板を処理するために供給されるアニール放射線20の所定の照射量(量)または所望の最高基板温度を示すものである。パラメータ信号は、所定の照射量のアニール放射線20を基板10に与えるために使用される強度、走査速度(scan velocity)、走査速度(scan speed)及び/または走査数を示すものであってもよい。
一実施形態では、アニール放射線源26はCOレーザーであり、アニール放射線ビーム20は10.6μmの波長を有する。通常、アニール放射線源26は、室温では実質的に基板に吸収されないが、基板または基板の上部の十分な部分がより高温である場合には当該基板によって実質的に吸収される波長を有する放射線を放射する連続放射線源である。好ましい実施形態では、アニール放射線源26はレーザーである。
LTA装置8は、基板の上部近傍でアニール放射線ビーム20が吸収されることを利用して基板の上部の温度を効率的に上昇させ、基板の本体の温度は実質的に変化させないようになっている。すなわち、基板が半導体ウェハである場合には、本発明は、ウェハ本体を加熱するのではなく、デバイス(例えば、トランジスタ)が形成される表面または表面近傍におけるウェハの温度を上昇させる。
しかし、室温では、波長の長い放射線ビームは上面を加熱することなく基板を通過するため、低濃度ドープまたはドープされていない基板をアニールすることは困難である。一方、高濃度ドープされた基板は容易にアニールすることができる。これは、入射アニール放射線が材料の上面から約100μmで吸収され、材料の温度を所望のアニール温度に上昇させるためである。
ビームから放射線をほとんど吸収せず、加熱されない基板10の本体(バルク)16は、アニール放射線ビーム20が基板に照射されなくなると急速に上面領域を冷却する。本発明は、10.6μmのCOレーザー波長などの赤外線波長では低濃度ドープされたシリコンにおける放射線の吸収が基板温度に大きく依存するという事実を利用する。アニール放射線ビーム20が吸収されると、基板の表面温度が上昇することによって吸収が増加し、その結果として基板の表面がより強く加熱されることになる。
2.自己持続アニール条件
図2は、波長10.6μmの放射線に対するシリコン基板の吸収長L(μm)(縦軸)と基板温度T(℃)のプロットである。また、プロットには、基板温度Tの関数としての滞在時間200μsでの拡散距離L(μm)も含まれる。吸収長Lは、アニール放射線ビーム20の強度を1/e減衰させるために必要な厚みである。熱拡散距離Lは、瞬間的な表面温度の上昇が所定の滞在時間後に材料中に伝播する深さである。L及びLは、600℃以下の温度Tでは60μm以下のほぼ同じ値を有する。
基板温度Tによる吸収経路長Lの大きな変化によって、2つの定常状態条件が形成され得る。すなわち、(1)アニール放射線ビーム20が実質的に吸収されずに基板を通過し、実質的に加熱をもたらさない、(2)アニール放射線ビーム20が基板の表面12近傍で実質的に吸収され、ビームが基板上を移動する(走査される)にしたがってアニール放射線ビーム20とともに移動する像30に対応する基板の表面及びその直下で「ホットスポット」を形成する。
図3は、深さ(μm)及びアニール放射線ビーム位置(μm)の関数としての基板温度(℃)プロファイルのコンピュータシミュレーションである。温度プロファイルは、基板内部及び基板の表面12上を移動するホットスポット(31で示す)である。移動するホットスポット31は、熱拡散によって進行する像30の前方で基板10の領域を予熱する(図4Bを参照;後述する)。ホットスポット31の伝般に伴う基板の予熱によって、ビームが基板の表面上で走査されるとアニール放射線ビーム20の放射線が上面12近傍で効率的に吸収される。装置8と本発明の方法を使用して作り出そうとするのは定常状態条件(2)であり、ここでは「自己持続アニール条件」と呼ぶ。
本発明に係る自己持続アニール条件を形成するための一般的な方法は、アニール放射線ビーム20が実質的に基板に吸収される、すなわち、自己持続アニール条件が開始する点まで吸収されるように、基板10(あるいは基板10の選択領域または一部)を臨界温度T(例えば、以下に詳述するように350℃以上)に加熱することを含む。
の正確な値は、基板内の温度分布、ドーパント濃度、アニール放射線ビームの強度に依存する。したがって、一実施形態では、臨界温度Tは経験的に決定される。これは、例えば、様々な初期温度条件または一定の初期温度条件と様々なアニール及び予熱放射線ビーム強度を有する試験基板におけるアニール放射線ビームによって形成される最高温度を測定することを含むことができる。自己持続アニール条件をもたらす基板の予熱は、多くの方法で達成することができる。LTAを行うために、低濃度ドープされたシリコン基板10において自己持続アニール条件を作り出すための方法を実施するために基板10を加熱するための加熱装置を含むLTA装置8の実施形態を以下に説明する。
3.任意の熱シールドを有する加熱チャックの実施形態
図1Aを再び参照すると、一実施形態では、チャック28は熱伝導性であり、コントローラー32に接続され、コントローラー32によって制御される電源52に接続された加熱要素50を含む。熱絶縁層53がチャック28の底部と側面を取り囲み、ステージが不必要に加熱され、チャックから熱が失われることを防いでいる。
動作時には、コントローラー32が電源52を作動させ、電源52は加熱要素50に電力を供給する。それに応じて、加熱要素50は熱56を生成する。一実施形態では、熱56の発生量は、チャックの温度が所定の最大値に制限されるように、チャック内に設けられ、電源52(またはコントローラー32)に動作的に接続された温度センサー57によって制御される。基板がチャック上に設置されると、基板の温度は迅速にチャックと同じ温度に達する。通常、チャックの温度TCHは約400℃である。
別の実施形態では、装置8は、熱56を基板に向けて反射するように基板12の上方に支持された熱シールド62を必要に応じて含む。その結果、基板がより均一に加熱されるとともに、シールドの反対側に位置する装置の構成要素が加熱されることが少なくなる。一実施形態では、熱シールド62は金被覆ガラス板である。熱シールド62は、アニール放射線ビーム20を基板10の表面12に到達させる開口64を含む。
4.加熱エンクロージャの実施形態
図1Bを参照すると、別の実施形態では、装置8は、基板10とチャック28、または基板、チャック、ステージMSを取り囲む大きさを有する内部領域82を備えた加熱エンクロージャ80(例えば、オーブン)を含む。エンクロージャ80は、電源52に接続された追加の加熱要素50を(好ましくはチャック28に含まれている加熱要素に加えて)含む。電源52はコントローラー32に接続されている。一実施形態では、エンクロージャ80は、アニール放射線ビーム20を基板10の表面12に到達させる窓または開口84を含む。図1Aに関連して上述した熱絶縁層53が、好ましくはチャック28の側面と底部に設けられ、チャックからステージへの不必要な熱の損失を防いでいる。
動作時には、コントローラー32が電源52を作動させ、電源52は加熱要素50に電力を供給する。それに応じて、加熱要素50は熱56を発生し、チャック、基板、その周囲の温度を約400℃の最高臨界温度TCに上昇させる。エンクロージャ80は、熱56が内部領域82に閉じ込められたままであるように熱的に絶縁されていることが好ましく、それによって基板の効率的で均一な加熱を促進する。
5.予熱放射線ビームの実施形態
図1Cを参照すると、別の実施形態では、装置8は、光軸A2に沿って設けられた予熱放射線源142とリレーレンズ143とを有する予熱光学リレー系140を含む。予熱放射線源142は、リレーレンズ145に照射される放射線147を放射し、リレーレンズ145からの予熱放射線ビーム150は、アニール放射線ビームによって加熱される直前に基板を予熱するために使用される。放射線147は、シリコンによって100μm以下の深さで容易に(実質的に)吸収される波長を有する。一実施形態では、予熱放射線源142は、0.8μm(800nm)または0.78μm(780nm)の波長を有する予熱放射線147を放射するレーザーダイオードアレイである。リレーレンズ143の実施形態を以下に説明する。予熱放射線源142とリレーレンズ143とは、図1Aに示し、図1Cでは説明を簡略化するために省略されているモニターM1,M2およびステージ駆動部29とともにコントローラー32に動作的に接続されている。
動作時には、予熱放射線源142は放射線147を放射し、リレーレンズ143が放射線147を受ける。リレーレンズ143は、基板の表面12で像160(例えば、線像)を形成する予熱放射線ビーム150を形成する。予熱放射線ビーム150は、基板表面法線Nに対して測定した場合の入射角θ150で基板の上面12に入射する。
一実施形態では、図1Cに示すように、アニール放射線ビーム20によって形成された像30と予熱放射線ビーム150によって形成された像160は、基板の表面12上で並んで位置する。従って、予熱放射線ビーム150は、アニール放射線ビーム20が照射される部分のすぐ前方の基板の部分または領域を局所的に予熱する。矢印22’は(例えば、可動チャック28を介した;図1を参照)基板10の移動を示し、一実施形態では、基板10は固定された放射線ビーム20,150(または、等価的に、固定された像30,160)の下を移動し、これらのビーム(または像)の走査が実現される。
別の実施形態では、図4Aに示すように、予熱放射線ビーム150とアニール放射線ビーム20は、例えばそれぞれのビーム強度プロファイルのl/e2の強度の輪郭部分で部分的に重なる。
図4Bは、ビーム20,150が照射されている基板の一実施形態の拡大断面図である。図4Bは、アニール放射線ビーム20の前方に結像された予熱放射線ビーム150からの熱166が基板の上面近傍においてアニール放射線ビームの吸収を促進する様子を示している。予熱放射線ビーム150からの熱166は、アニール放射線ビーム20の前方で基板10内に拡散する。放射線ビームが矢印22’によって示すように基板と相対的に移動すると、アニール放射線ビーム20は予熱放射線ビーム150によってすでに加熱された領域(基板の一部)を通過する。このプロセスは、基板の表面または表面近傍における基板の温度を臨界温度TCよりも上昇させるために使用される。これによって、吸収アニール放射線ビーム20’(点線)によって示すように、アニール放射線ビーム20は基板に効率的に吸収される。基板の表面12の近傍における基板10内でのアニール放射線ビーム20’の比較的迅速な吸収によって、アニール放射線ビームの立ち下がりエッジにおいて基板の表面の温度はアニール温度TA(例えば、約1600°K)まで最大に上昇する。その結果、例えば、基板の上面に注入されたドーパントの活性化によって、基板内に形成された選択領域がアニールされる。
6.基板温度のプロット
図5は、放射線の入射パワーP(W/cm)の関数としての、高濃度ドープされたシリコン基板に10.6μmの放射線を照射することによって形成された最高基板温度TMAX(℃)のプロットである。このデータを導出するために、2次元有限要素シミュレーションプログラムを使用した。シミュレーションでは、無限に長いアニール放射線ビームを想定した。従って、ビームパワーはW/cmではなくW/cmで測定される。また、シミュレーションでは、アニール放射線ビーム20が120μmの全幅半値(FWHM)を有するガウス形ビームプロファイルを有し、基板の上面12を600mm/秒の速度で走査され、200μsの滞在時間(dwell time)を生じるものと想定した。ここで、「滞在時間」は、アニール放射線ビーム20によって形成された像30が基板の表面12の特定のポイント上にある時間の長さである。この場合、プロットは、入射パワーPと最高基板温度TMAXの間のほぼ直線的な関係を示している。この2次元モデルは無限に長いアニール放射線ビーム20を想定しているため、線像30の端部におけるさらなるエネルギー損失は全くなかった。有限ビーム長ではビームの端部において追加の熱損矢が生じ、従って所与の入射パワーレベルPに対する最高温度は低くなる。
図5は、吸収性(高濃度ドープされた)基板において、特定の条件で最高基板表面温度TMAXを周囲温度から427℃に上昇させるためには500W/cmの入射パワーPが必要であることを示している。同様の条件でシリコンの融点である1410℃まで温度を上昇させるためには約1150W/cmが必要となる。
図5に示す関係は、アニール放射線ビーム20と同じ幅と滞在時間を有する予熱放射線ビーム150においてもほぼ近似している。どちらの場合でも、基板に熱を分配するための主要なメカニズムは熱拡散である。400℃のピーク基板温度TMAXは、400℃の均一な基板温度Tとほぼ同じアニール放射線ビーム20の吸収を生じることはない。これは、前者の温度分布は熱拡散距離Lとほぼ等しい距離で基板内において周囲温度になるためである。
図6は、ドープされていないシリコン基板の場合の、波長10.6μmのアニール放射線ビーム20の2つの異なる入射パワーPによる初期基板温度TIの関数としての最高基板温度TMAX(℃)のプロットである。このプロットも2次元有限要素モデルから導出した。約327℃未満の温度では、入射放射線はほとんど効果を示さず、最高温度TMAXは初期基板温度TIとほぼ等しい。すなわち、アニール放射線ビーム20は基板10を通過し、基板を加熱することはない。しかし、377〜477℃の初期基板温度TIでは、アニール放射線ビームの入射パワーPの量に依存してアニール放射線ビーム20の吸収が発生する。その結果、最高基板温度TMAXは急激に上昇する。高吸収及び高温への遷移が発生すると、アニール放射線ビーム20のさらなる照射によって最高温度TMAXは直線的に増加する。
図5及び図6のプロットに使用されているパワーの単位はW/cmである。このパワーは、半値点間に含まれる走査像30(例えば、線像)の単位長さあたりのパワーである。従って、120μmの幅を有する像30における1150W/cmのパワーは、9,5833W/cmの平均強度に対応する。
自己持続アニール条件を作り出すために、基板を限界温度TCに加熱するために予熱放射線源142によって発生させなければならない温度は、図6のプロットにおける情報から推定することができる。図6のプロットは、基板が約427℃の均一な温度TIに達すると、基板温度TMAXが急激に上昇し、自己持続アニール条件が開始することを示している。必要な予熱を行うためにレーザーダイオード源を使用する場合には、ダイオード源はほぼ1熱拡散距離で周囲温度に低下する不均一な温度分布を生じさせるため、非常に高い温度が予想される。
図7は、波長780nmの放射線に対するドープされていないシリコン基板の基板温度T(℃)の関数としての吸収長L(μm)のプロットである。800nmでの吸収特性は、780nmでの吸収特性と非常に似通っている。プロットから明らかなように、室温であっても吸収長Lは約10μmであり、これは、200μs以上における基板の表面領域の有効な加熱と主として熱拡散によって決定される温度分布を保証するものである。
(予熱放射線ビーム150を発生させるために使用されるような)レーザーダイオード源によって形成される不均一な温度分布を有するドープされていないシリコン基板における(アニール放射線ビーム20としての)COレーザーの効率的な吸収を得るためには、約l00μmの吸収長に対応する温度が推定される。これは、約550℃のピーク基板温度TMAXによって達成される。図5を再び参照すると、550℃の最高基板温度TMAXには、予熱放射線ビーム150が約600W/cm(50,000W/cm)のパワーを有することが必要となる。
7.予熱放射線ビームパワーの決定
実際には、アニール放射線ビーム20の基板10への効率的な結合を達成するために必要な予熱放射線ビーム150の最小パワーを決定することは簡単である。一実施形態では、吸収性基板をアニールするために十分なパワーレベルに設定されたアニール放射線ビームを使用する場合、室温ではアニール放射線ビーム20の波長を実質的に吸収しない基板に予熱放射線ビーム150とアニール放射線ビーム20を照射する。予熱放射線ビーム150のパワーレベルは、基板内でアニール温度が検出されるまで増加させる。これは、例えば、図1Aに示される温度モニターM2で基板温度を測定することによって行うことができる。
アニール放射線ビームと基板との結合がほとんどまたは全くない状態から基板の表面での効率的な結合が発生する状態への遷移は、通常は非常に急激である。基板温度Tが低過ぎる場合には、アニール温度への遷移が発生しないか、基板の融点への急激な遷移が発生する。基板温度をさらに上昇させると、融点よりも低い温度での安定した動作を可能とするアニールパワーレべルの狭い範囲が生じる。基板温度をさらに上昇させると、アニールパワーレべルの範囲と対応するアニール温度の範囲とが増加する。従って、基板におけるアニール放射線ビーム20の吸収を開始させる、または基板においてアニール温度を生じさせる予熱放射線ビーム150の明確に定義されたパワーレベルというものはない。しかし、それよりも低い場合には所望の範囲のアニール温度を確実に達成できない実質的な最小パワーレベルはある。一実施形態では、予熱放射線ビーム150は、アニール放射線ビームが基板に効率的に吸収され、広い範囲のアニール温度を容易に達成するために必要な最小パワーレベルよりもわずかに高いパワーレベルに設定される。
一実施形態では、自己持続アニール条件を開始させるために必要な予熱放射線ビーム150のパワー量Pは、550℃の最高基板温度TMAXを生じさせるために必要なパワー量である。滞在時間が200μsであると仮定すると、図5のグラフはこのパワー量が約600W/cmの入射パワーに対応することを示している。しかし、アニール放射線ビーム像30の幅に匹敵する幅を有する像160を形成する予熱放射線ビーム150における600W/cmの強度を得ることはそれほど簡単ではない。一実施形態では、予熱放射線ビーム150は、約75°であるシリコンのブルースター角またはその近傍の入射角θ150を有することが望ましい。この角度によって、反射放射線が最小化されるとともに、基板に吸収されるエネルギーが基板上に存在する構造間で均等化される。約75°の入射角θ150では、予熱放射線ビーム150は基板の表面12で滲んで約4倍の部分を覆い、強度はそれに比例して減少する。
予熱放射線ビーム150の総パワーは、例えば、レーザーダイオードの列を追加して予熱源を大きくすることによって増加させることができる。しかし、予熱放射線ビーム150の幅もそれに比例して増加する。予熱放射線ビームの幅が増加すると、滞在時間と熱拡散深さが増加し、所与の最高温度を達成するために必要なパワーもさらに増加する。したがって、リレーレンズ143は、利用可能な予熱放射線源142を使用して限界温度の範囲内で基板を加熱するために十分な強度を有する予熱放射線ビーム150を供給できるように設計する必要がある。本発明に係るそのようなリレーの例を以下に説明する。
8.光学リレ一系の実施形態
図8A及び図8Bは、光学リレー系140の一実施形態と基板10の断面図である。図8AはY−Z平面の図であり、図8BはX−Z平面の図である。図8A及び図8Bにおいて、リレーはページに収まるように2つの部分に分割され、表面S13,S14を有するレンズ素子が双方の部分に示されている。
一実施形態では、予熱放射線源142は、カリフォルニア州サンタクララ市パトリックヘンリードライブ5100(5100 Patrick Henry Drive, Santa Clara,CA 95054)のコヒーレント・セミコンダクター・グループ(Coherent Semiconductor Group)から入手できるLightStackTM7×1/L PVアレイなどの2次元レーザーダイオードアレイを含む。LightStackアレイは、それぞれが10mmの長さを有し、1.9mmの間隔で積層された7列の水冷レーザーダイオードを含む。各列のダイオードは80Wの光学パワーを放射することができる。リレーレンズ143は、(予熱放射線源142が配置された)対物面OPと、(基板10が配置された)像面IPと、像面と対物面とを接続する光軸A2とを含む。
一実施形態では、上述したように、リレーレンズ143は、基板上を走査される像160(例えば、線像)を形成する予熱放射線ビーム150を形成するように設計されている。像160は多くの方法で走査することができ、例えば、リレーレンズ143と相対的に(可動ステージMSを介して)チャック28を移動させることによって走査することができる(図1C)。比較的小さい像面積上で基板を加熱するために必要な高いビーム強度を達成することは簡単であるため、基板10に像160を局所的に照射する方が、基板全体に一度で照射するよりも好ましい。したがって、リレーレンズ143による局所的な予熱は、基板へのアニール放射線ビーム20の照射と同期していなければならない。
レーザーダイオードの放射特性は異方性であり、隣接するダイオード間の間隔はXY平面において大きく異なるため、基板10に像160を効率的に形成するために、リレーレンズ143はアナモルフィックである必要がある。また、基板10における像160の必要な強度を達成するために、像面IPにおける比較的高い開口数が必要である。
したがって、図9A及び図9Bも参照すると、リレーレンズ143は、光軸A2に沿って予熱放射線源142から順に、予熱放射線源142を構成するレーザーダイオード198の列数に対応する小レンズ201を有する円柱レンズアレイ200を含む。円柱レンズアレイ200は、X−Z平面において倍率を有し、X−Z平面(図9A)において放射線源142から放射された各予熱放射線ビーム147をコリメートする(平行にする)が、Y−Z平面(図9B)においては放射線は10°の円錐角を有する。ダイオードアレイと円柱レンズアレイの組み合わせは、円柱レンズアレイを基板に対して再結像するアナモルフィックリレーへの入力となる。
表1は、図8A及び図8Bに示すリレーレンズ143の一実施形態のレンズ設計データを示す。
Figure 2007507897
図8A及び図8Bに示すように、リレーレンズ143は、共通の中間像面IMを有する2つの直列の結像サブリレーR1,R2からなる。サブリレーR−1は、Y−Z平面とX−Z平面で実質的に異なる倍率を有する円柱レンズ素子を主に使用したアナモルフィックリレーであり、サブリレーR−2は球面素子を使用し、1:6の縮小倍率比を有する従来のリレーである。アナモルフィックリレーR−1は、Y−Z平面において1:1の倍率比を有し、X−Z平面において1:10の縮小倍率比を有する。リレーレンズ143は、対物面OP及び像焦点面OPにおいてテレセントリックである。
対物面OPと像面IPの双方でのテレセントリック性は、予熱放射線源142に直接隣接して配置された球面視野レンズ202(面s1〜s2)及び円柱レンズ204(面s3〜s4)によって達成される。円柱レンズ204は、Y−Z平面のみにおいて倍率を有し、Y−Z平面においてはs5で瞳像を形成する。その次に、中間像面においてダイオードアレイを1:1で再結像する、Y−Z平面において倍率を有する2つの円柱レンズ206,208(面s6〜s9)が設けられている 面s10は、X−Z平面における瞳面を示す。次に、中間像面においてダイオードアレイを10:1の縮小倍率比で再結像する、Y−Z平面において倍率を有する一対の円柱レンズ210,212(面s11〜s14)が設けられている 中間像は、6:1の縮小倍率比を有するサブリレーを形成する一群の球面レンズ214〜222(面s15〜s24)によって最終像面で再結像される。従って、リレーはダイオードの列を含む平面において6:1の総合縮小倍率を有し、各列のダイオードに垂直な平面において60:1の縮小倍率を有する。
Y−Z平面における6:1の縮小倍率比によって、コリメートされていない(遅軸)予熱放射線源142の10mmのサイズが、対物面OPにおける10mmから像面IPにおける1.67mmに減少する。また、同じ平面において、対物面OPにおける予熱放射線源142から放射された放射線の10°の円錐角は、像面IPにおける60°に増加する。
X−Z平面における縮小倍率は60:1である。従って、有効放射線源220を構成するレーザーダイオードアレイの対物面OPにおける寸法11.4mm(7列のダイオードをX方向で測定)は像面IPでは0.19mmに減少する。また、有効放射線源220における平行ビームの1°のFWHM角度は像面IPでは60°の円錐角に増加する。
対物面OPにおける放射線源142から像面IPにおける基板10に予熱放射線147を伝達する総合効率が50%(基板の表面12での反射損失を含む)であると仮定すると、図8A及び図8Bのリレーレンズ143は像160に280Wをもたらすことができる。一例としての像160の寸法が1.6mm×0.19mmとすると、921W/mmのパワー密度が達成される。法線入射(θ150=0°)では、滞在時間が0.2ミリ秒であると仮定すると、このパワー密度によって、室温(〜20℃)のシリコン基板10の温度が約500℃上昇して約520℃になることになる。この温度は、自己持続アニール条件を開始させるために必要な400℃の均一な限界温度TCよりも高く、アニールレーザー像30の直前に位置するダイオードアレイ像160によって生じるような不均一な温度分布にとって適切な範囲である。この場合、予熱放射線ビーム150はアニール放射線ビーム20に先行する(すなわち、前方を走査される)。このようにして、予熱放射線ビームによって形成される最高温度TMAXは、アニール放射線ビーム20が基板の予熱部分に照射される直前に達成される。一実施形態では、予熱放射線ビーム及びアニール放射線ビームの相対的な位置は、予熱放射線ビームは常にアニール放射線ビームに先行するように、走査方向が逆になるたびに逆転される。
9.放射線ビームの走査と向き
上述したように、一実施形態では、予熱放射線ビーム150によって形成される像160は基板10上を走査される。また、アニール放射線ビーム20によって形成される像30も、予熱放射線ビームによって予熱された基板の部分に入射するように基板上を走査される。
実施形態では、スパイラルパターン、ラスタパターン、または牛耕式(boustrophedonic)パターンで基板を移動させることによって走査が行われる。牛耕式走査パターンでは、走査方向を逆転させ、各走査後に交差走査位置が増加する。この場合、上述したように、各走査間で予熱放射線ビーム150とアニール放射線ビーム20の相対位置を変化させることが必要である。一実施形態では、これはリレーレンズ143全体の位置をシフトさせることによって行われる。アニール放射線ビーム20の幅が約120μm(FWHM)であり、予熱放射線ビーム250の幅が190μm(シルクハット形状)である場合には、リレーレンズ143は、ビーム中心間の距離の2倍または走査方向に平行な方向において約393μm移動させる必要がある。これは、例えば、予熱リレーレンズ143に動作的に接続されたコントローラー32からの信号によってリレーレンズ(図1C)を移動させることによって達成される。同様に、コントローラー32は、走査前に基板の焦点、頂点、傾斜パラメータを調整することによって予熱放射線ビーム150の焦点を制御する。
上述の米国特許出願第10/287,864号に記載されているように、予熱放射線ビーム150はブルースター角またはその近傍の入射角で基板10に入射し、p偏光されていることが望ましい。これは、アニール時に基板上に形成されているようなフィルム積層体は、これらの条件下で低い反射率と反射率の小さなばらつきを有するためである。
一実施形態では、予熱放射線ビーム150は、アニール放射線ビーム20と同様に、ブルースター角またはその近傍の入射角θ150で基板に入射するように配置される。通常、このような角度によって、活性化(アニール)工程の前に基板上に形成されている異なる膜積層体間の反射率のばらつきが減少する。しかし、このようなビームの向き(角度)はアニール波長では非常に有効に作用するが、予熱に使用される波長ではそれほど有効ではない。予熱放射線ビームの波長と半導体構造(例えば、トランジスタ等のデバイス14)を形成するために使用される膜の厚みとがほぼ等価であると、すべての入射角で基板の反射率の大きなばらつきが生じる。また、ブルースター角またはその近傍の入射角θ150によって、法線入射(θ150=0°)の場合の3倍または4倍の面積にわたって像160が広がり、パワー密度はそれに対応する量低下する。走査速度を変化させない場合、走査速度は通常アニール放射線ビームジオメトリーによって設定されるため、最高温度も低下する。
法線入射または法線入射近傍で動作させる場合の問題の1つは、放射線の反射割合が非常に高く、反射放射線が放射線源(例えば、ダイオードアレイ)に戻った場合に深刻なダメージを引き起こし得ることである。図10A及び図10Bは、反射または散乱して予熱放射線源142(図1C)に戻る予熱放射線の量を減少させるための予熱リレー光学系140の実施形態を説明する概略図である。図10Aを参照すると、好ましい実施形態では、予熱放射線ビーム150はθ150=0°の法線入射角を有する。法線入射角は、基板によって反射され(反射された予熱放射線は150Rとして示す)、予熱放射線源142に戻る予熱放射線ビーム150の量に反映される。反射された予熱放射線150Rが予熱放射線源142に戻ると、放射線源の破損時間が早まる可能性がある。放射された予熱放射線147が偏光される場合(レーザーダイオードを使用する場合など)、一実施形態では、予熱放射線ビームの偏光方向に整列させた偏光子143Pと、偏光子と基板との間に位置する1/4波長板143WPを配置することによって、予熱放射線源に戻る反射予熱放射線150Rの量を減少させる。1/4波長板は、偏光子から基板に移動する放射線を基板において円偏光放射線に変換する。基板から戻る放射線は、1/4波長板を通過した後に直線偏光放射線に再び変換される。しかし、基板から戻る放射線の偏光方向は元の方向と直交している。従って、基板から戻るビームは偏光子を透過せず、レーザーダイオードアレイに達することはない。
図10Bを参照すると、反射(鏡面)予熱放射線150が予熱放射線源に戻ることができないように入射角θ150を法線入射からずらして選択した場合でも、予熱放射線源に戻る散乱(非鏡面)予熱放射線150Sが問題を引き起こしうる。ある種の予熱放射線源(レーザーなど)に戻る少量の放射線でも不安定な動作を引き起こし得る。また、基板に吸収される放射線の割合を増加させ、基板上の様々な構造による吸収のばらつきを減少させるために、法線入射からずらして動作させる場合にはp偏光させた予熱放射線を使用することが望ましい。
したがって、一実施形態では、リレーレンズ143の下流に偏光子143Pとファラデー回転子143Fとを追加することによって、予熱放射線源142に戻る予熱放射線150Sの量を減少させる。ファラデー回転子143Fは、偏光子143Pと基板10との間に位置する。動作時には、ファラデー回転子は、回転子を通過した予熱放射線ビーム150の偏光を90°回転させ、偏光子は、偏光を回転させた予熱放射線150Sが予熱放射線源142に戻ることを防ぐ。予熱放射線ビーム150が法線入射からずれるように光学リレー系140を動作させることによって、反射予熱放射線ビーム150Rのパワーを測定することが容易となり、有益な診断となる。
入射予熱放射線ビーム150と反射予熱放射線150Rのパワーの測定値は、基板10に吸収されたパワーを計算するために使用することができる。吸収パワーは、予熱放射線ビーム150によって形成された最高温度を推定するために使用される。予熱放射線ビーム150の吸収パワーを一定の閾値よりも高く維持することによって、基板によるアニール放射線ビーム20の強い吸収を引き起こすために十分な予熱が保証される。
以上の詳細な説明では、各種特徴を容易に理解できるように各種実施形態に分類した。本発明の多くの特徴及び利点は詳細な明細書から明らかであり、添付の請求項によって本発明の精神と範囲に従う上述した装置の特徴と利点を全て網羅することを意図するものである。
また、当業者は数多くの変形や変更に容易に想到するものと考えられるため、本発明をここで説明した構造や動作のみに限定することは望ましいものではない。従って、その他の実施形態も添付の請求項の範囲に含まれるものである。
図1Aは、LTA光学系を含む本発明のLTA装置の実施形態とLTA光学系によって処理されるシリコン基板の断面図であり、LTA装置は、基板を支持し、予熱するための加熱チャックと、放射線の装置の他の部分への結合を減少させ、効率的な基板の加熱を促進するためにチャックを取り囲む任意の熱シールドとを含む。 図1Bは、図1Aと同様な本発明のLTA装置の実施形態の断面図であり、LTA装置は基板を予熱するために基板を取り囲む加熱エンクロージャを含む。 図1Cは、図1Aと同様な本発明のLTA装置の実施形態の断面図であり、加熱チャックと任意の熱シールドの代わりに、予熱放射線ビームを使用して少なくとも基板の一部を予熱する光学的加熱装置が設けられている。 波長10.6μmのアニール放射線ビームに対するドープされていないシリコン基板の吸収経路長L(μm)(縦軸)と基板温度T(℃)のプロットと、基板温度Tに対する200μsの滞在時間を有する放射線ビームに伴う拡散距離Lのプロットである 深さ(μm)とアニール放射線ビーム位置(μm)の関数としての基板温度プロファイルのコンピュータシミュレーションであり、自己持続アニール条件に伴うアニール放射線ビームによって基板内部に形成されるホットスポットを説明する。 図4Aは、基板の表面上の位置の関数としての予熱放射線ビームとアニール放射線ビームの相対的な強度とビームプロファイルの実施形態を示す概略図である。 図4Bは、基板の拡大断面図であり、アニール放射線ビームの前方に結像された予熱放射線ビームからの熱が基板の上面近傍においてアニール放射線ビームの吸収を促進して自己持続アニール条件を達成する様子を説明する。 放射線の入射パワーP(W/cm)に対する高濃度ドープされたシリコン基板に波長10.6μmのアニール放射線ビームを照射することによって形成された最高基板温度TMAX(℃)のプロットである。 ドープされていないシリコン基板へのアニール放射線ビームの異なる入射パワーPによる初期基板温度TIの関数としての最高基板温度TMAX(℃)の有限要素法シミュレーションによって得られたプロットである。 波長780nmの予熱放射線ビームに対するシリコンの基板温度T(℃)の関数としての吸収長L(μm)のプロットである。 図8Aは、Y−Z平面における図1Cの光学リレー系の実施形態の断面図である。 図8Bは、X−Z平面における図1C及び図8Aの光学リレー系の実施形態の断面図である。 図9Aは、加熱放射線源及び円柱レンズアレイのX−Z平面における拡大断面図である。 図9Bは、加熱放射線源及び円柱レンズアレイのY−Z平面における拡大断面図である。 図10Aは、基板への法線入射における予熱放射線源、リレーレンズ、予熱放射線ビームの拡大概略図であり、基板によって反射され、予熱放射線源に戻る予熱放射線の量を減少させるために予熱放射線ビームに配置された偏光子と1/4波長板をさらに含む。 図10Bは、基板へのほぼ法線入射における予熱放射線源、リレーレンズ、予熱放射線ビームの拡大概略図であり、基板によって散乱され、予熱放射線源に戻る予熱放射線の量を減少させるために予熱放射線ビームに配置された偏光子とファラデー回転子をさらに含む。

Claims (35)

  1. 表面を有する基板のレーザー熱アニールを行うための装置であって、
    室温で前記基板に実質的に吸収されない波長を有する連続したアニール放射線を生成することができるレーザーと、
    前記アニール放射線を受け、前記基板の表面上で走査される第1の像を前記基板の前記表面において形成するアニール放射線ビームを形成するアニール光学系と、
    前記基板の少なくとも一部を、前記一部に入射した前記アニール放射線ビームが走査時に前記一部において前記基板の前記表面近傍で実質的に吸収されるように、臨界温度に加熱するための加熱装置と、
    を含むことを特徴とする装置。
  2. 請求項1において、
    前記アニール放射線ビームが1μmよりも長い波長を有する装置。
  3. 請求項1において、
    前記基板が、前記アニール放射線ビームと相対的に前記基板を移動させることによって前記走査を行う可動ステージによって支持される装置。
  4. 請求項1において、
    前記アニール放射線が10.6μmの波長を有する装置。
  5. 請求項1において、
    前記基板がドープされていないシリコンまたは低濃度ドープされたシリコンである装置。
  6. 請求項1において、
    前記アニール放射線によって形成される前記第1の像が線像である装置。
  7. 請求項1において、
    前記加熱装置が、前記基板を支持し、前記基板を前記臨界温度に加熱する加熱チャックを含む装置。
  8. 請求項7において、
    前記加熱装置が、前記基板から放射された熱を前記基板に対して反射する熱シールドをさらに含む装置。
  9. 請求項1において、
    前記加熱装置が、前記基板を取り囲み、前記基板を前記臨界温度に加熱する加熱エンクロージャをさらに含む装置。
  10. 請求項1において、
    前記加熱装置が、
    予熱放射線を放射する予熱放射線源と、
    前記予熱放射線を受け、前記基板において第2の像を形成する予熱放射線ビームを形成するリレーレンズと、
    を含み、
    前記第2の像が、前記基板の前記表面上で走査され、前記走査された第1の像の前方または前記走査された第1の像と部分的に重なる前記基板の部分を予熱し、
    前記予熱放射線が、室温で前記基板に実質的に吸収される波長を有する装置。
  11. 請求項10において、
    前記第2の像が線像である装置。
  12. 請求項10において、
    前記予熱放射線ビームが780nmまたは800nmの波長を有する装置。
  13. 請求項10において、
    前記リレーレンズが、前記予熱放射線ビームが法線入射で前記基板に入射するように配置され、
    偏光子と、
    1/4波長板と、
    をさらに含み、
    前記偏光子と前記1/4波長板が前記予熱放射線ビーム内に配置され、前記基板によって反射され、前記予熱放射線源に戻る予熱放射線の量を減少させる装置。
  14. 請求項10において、
    偏光子とファラデー回転子が前記予熱放射線ビーム内に配置され、予熱放射線ビームが前記予熱放射線源に戻ることを実質的に防ぐ装置。
  15. 請求項10において、
    前記予熱放射線源がレーザーダイオードのアレイであり、
    前記リレーレンズがアナモルフィックであって、前記基板における前記レーザーダイオードのアレイの線像として前記第2の像を形成する装置。
  16. 請求項10において、
    前記リレーレンズが、前記第2の像の焦点を維持するために調節することができる要素を含む装置。
  17. 請求項1において、
    前記基板を支持するチャックと、
    前記チャックを支持する可動ステージと、
    前記可動ステージに動作的に接続され、前記ステージを選択的に移動させて前記基板を選択的に移動させることによって、前記走査を行うステージ駆動部と、
    をさらに含む装置。
  18. 基板をレーザー熱アニールする方法であって、
    室温で前記基板に実質的に吸収されない波長を有するレーザーからのアニール放射線ビームを供給すること、
    加熱部分で前記アニール放射線ビームが前記基板の表面近傍で実質的に吸収されるように前記基板の少なくとも一部を臨界温度に加熱すること、
    前記基板の前記加熱部分上において前記アニール放射線ビームを走査することによって自己持続アニール条件を開始させること、
    を含むことを特徴とする方法。
  19. 請求項18において、
    前記基板は、ドープされていないシリコン基板または低濃度ドープされたシリコン基板である方法。
  20. 請求項18において、
    前記加熱することは、前記基板を支持する加熱チャックを介して前記基板に熱を供給することを含む方法。
  21. 請求項20において、
    前記基板から放射された熱を前記基板に対して反射することを含む方法。
  22. 請求項18において、
    前記加熱することは、前記基板を取り囲む加熱エンクロージャを介して前記基板に熱を供給することを含む方法。
  23. 請求項18において、
    前記加熱することは、室温で前記基板に実質的に吸収される波長を有する予熱放射線ビームを前記基板の前記一部に照射することを含む方法。
  24. 請求項23において、
    前記予熱放射線ビームは、780nmまたは800nmの波長を有する方法。
  25. 請求項23において、
    ダイオードレーザーのアレイを使用して前記予熱放射線ビームを発生させることを含む方法。
  26. 請求項23において、
    前記アニール放射線ビームが前記基板において第1の像を形成し、前記予熱放射線ビームが前記基板において前記第1の像の前方または前記第1の像と部分的に重なる位置に第2の像を形成し、前記第1及び第2の像を基板上で走査する方法。
  27. 請求項26において、
    前記第2の像を走査時に前記第1の像の前方または前記第1の像と部分的に重なる位置に維持する方法。
  28. 請求項18において、
    前記アニール放射線ビームが1μmよりも長い波長を有する方法。
  29. 請求項28において、
    前記アニール放射線ビームが10.6μmの波長を有する方法。
  30. 請求項23において、
    i)自己持続アニール条件を開始させるために前記予熱放射線ビームによって生成される臨界温度及びii)前記自己持続アニール条件を開始させるために必要な前記予熱放射線ビームにおける最小パワーの少なくとも一方を、1組の試験基板に選択された強度を有する対応する1組のアニール放射線ビーム及び1組の予熱放射線ビームを照射することによって経験的に決定することを含む方法。
  31. 請求項18において、
    前記臨界温度が360℃以上である方法。
  32. 請求項26において、
    前記走査を、ラスタパターン、牛耕式パターン、スパイラルパターンを含むパターン群から選択されるパターンで行う方法。
  33. 請求項26において、
    前記走査を、前記基板を支持するチャックを支持する可動基板ステージを選択的に移動させることによって行う方法。
  34. 請求項23において、
    前記予熱放射線ビームがパワーレベルを有し、
    前記パワーレベルを、吸収性基板をアニールするために十分なパワーを有するアニール放射線ビームの下で前記基板を走査し、
    前記基板の表面上でアニール温度に達するまで前記予熱放射線ビームのパワーレべルを上昇させ、
    少なくとも到達した前記アニール温度をもたらすパワーレベルに前記パワーレベルを設定することによって設定する方法。
  35. 温度を有する基板をレーザー熱アニールする方法であって、
    室温で前記基板に実質的に吸収されない波長を有するレーザーからのアニール放射線ビームを供給すること、
    室温で前記基板に実質的に吸収される波長を有する走査予熱放射線ビームを前記基板の一部に照射し、加熱部分で前記アニール放射線ビームが前記基板の表面近傍で実質的に吸収されるように前記基板の前記温度を臨界温度に上昇させること、
    前記予熱放射線ビームの後方または前記予熱放射線ビームと部分的に重なる位置において前記アニール放射線ビームを走査することによって自己持続アニール条件を開始させること、
    を含むこと特徴とする方法。
JP2006534012A 2003-09-29 2004-09-28 低濃度ドープシリコン基板のレーザー熱アニール Expired - Fee Related JP5517396B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/674,106 2003-09-29
US10/674,106 US7148159B2 (en) 2003-09-29 2003-09-29 Laser thermal annealing of lightly doped silicon substrates
PCT/US2004/031783 WO2005043696A2 (en) 2003-09-29 2004-09-28 Laser thermal annealing of lightly doped silicon substrates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012143310A Division JP2012231158A (ja) 2003-09-29 2012-06-26 低濃度ドープシリコン基板のレーザー熱アニール

Publications (3)

Publication Number Publication Date
JP2007507897A true JP2007507897A (ja) 2007-03-29
JP2007507897A5 JP2007507897A5 (ja) 2009-01-29
JP5517396B2 JP5517396B2 (ja) 2014-06-11

Family

ID=34376795

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006534012A Expired - Fee Related JP5517396B2 (ja) 2003-09-29 2004-09-28 低濃度ドープシリコン基板のレーザー熱アニール
JP2012143310A Pending JP2012231158A (ja) 2003-09-29 2012-06-26 低濃度ドープシリコン基板のレーザー熱アニール

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012143310A Pending JP2012231158A (ja) 2003-09-29 2012-06-26 低濃度ドープシリコン基板のレーザー熱アニール

Country Status (4)

Country Link
US (2) US7148159B2 (ja)
JP (2) JP5517396B2 (ja)
TW (1) TWI246119B (ja)
WO (1) WO2005043696A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010109363A (ja) * 2008-10-06 2010-05-13 Ultratech Inc プレスパイクおよびポストスパイク温度制御をともなう基板の熱処理
JP2010541273A (ja) * 2007-10-01 2010-12-24 エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ 光束によるウェハの加熱方法
JP2011003630A (ja) * 2009-06-17 2011-01-06 Sumitomo Heavy Ind Ltd レーザ照射装置、及びレーザ照射方法
JP2012035302A (ja) * 2010-08-06 2012-02-23 Jfe Steel Corp 電子ビーム照射装置
JP2012507878A (ja) * 2008-11-04 2012-03-29 ソイテック プライミングおよび光束によって板状体の層を加熱するための方法および装置

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128421A (ja) * 2002-10-07 2004-04-22 Semiconductor Energy Lab Co Ltd レーザ照射方法およびレーザ照射装置、並びに半導体装置の作製方法
DE602004020538D1 (de) * 2003-02-28 2009-05-28 Semiconductor Energy Lab Verfahren und Vorrichtung zur Laserbestrahlung, sowie Verfahren zur Herstellung von Halbleiter.
JP4373115B2 (ja) * 2003-04-04 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7176405B2 (en) * 2005-04-22 2007-02-13 Ultratech, Inc. Heat shield for thermal processing
US7767927B2 (en) * 2005-05-16 2010-08-03 Ultratech, Inc. Methods and apparatus for remote temperature measurement of a specular surface
US7482254B2 (en) 2005-09-26 2009-01-27 Ultratech, Inc. Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
FR2893873B1 (fr) * 2005-11-25 2008-12-12 Air Liquide Procede de coupage avec un laser a fibre d'acier inoxydable
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
DE102006018801A1 (de) * 2006-04-22 2007-10-25 Carl Zeiss Laser Optics Gmbh Vorrichtung sowie Verfahren zum Erhitzen eines Substrats
US7514305B1 (en) 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
US7635656B2 (en) * 2006-06-29 2009-12-22 International Business Machines Corporation Serial irradiation of a substrate by multiple radiation sources
US7790636B2 (en) 2006-06-29 2010-09-07 International Business Machines Corporation Simultaneous irradiation of a substrate by multiple radiation sources
US7619227B2 (en) * 2007-02-23 2009-11-17 Corning Incorporated Method of reducing radiation-induced damage in fused silica and articles having such reduction
US7744274B1 (en) * 2007-06-20 2010-06-29 Ultratech, Inc. Methods and apparatus for temperature measurement and control on a remote substrate surface
US7847213B1 (en) 2007-09-11 2010-12-07 Ultratech, Inc. Method and apparatus for modifying an intensity profile of a coherent photonic beam
US8674257B2 (en) * 2008-02-11 2014-03-18 Applied Materials, Inc. Automatic focus and emissivity measurements for a substrate system
US8071908B1 (en) 2008-03-26 2011-12-06 Ultratech, Inc. Edge with minimal diffraction effects
US20090278287A1 (en) * 2008-05-12 2009-11-12 Yun Wang Substrate processing with reduced warpage and/or controlled strain
US20100068898A1 (en) 2008-09-17 2010-03-18 Stephen Moffatt Managing thermal budget in annealing of substrates
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
US8014427B1 (en) 2010-05-11 2011-09-06 Ultratech, Inc. Line imaging systems and methods for laser annealing
KR101009296B1 (ko) * 2010-05-14 2011-01-18 김진숙 곡률반경을 조절 생산할 수 있는 엘리베이터 보정 체인의 제조방법 및 그 방법으로 제조된 엘리베이터 보정 체인
US8026519B1 (en) 2010-10-22 2011-09-27 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8652974B2 (en) * 2011-06-22 2014-02-18 Ipg Photonics Corporation Method and system for pre-heating of semiconductor material for laser annealing and gas immersion laser doping
JP5537615B2 (ja) 2011-08-10 2014-07-02 ウルトラテック インク 時間平均化ライン像を形成するシステム及び方法
US8753904B2 (en) 2012-06-07 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for semiconductor device pattern loading effect characterization
US9482518B2 (en) 2012-06-07 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for semiconductor device process determination using reflectivity measurement
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
SG10201503478UA (en) 2012-06-11 2015-06-29 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US20140158578A1 (en) * 2012-12-06 2014-06-12 Jason Varan Folding apparatus for the containment and transport of bottles and method of use
KR102090708B1 (ko) * 2013-01-22 2020-04-16 삼성디스플레이 주식회사 레이저 어닐링 장치
US20150111341A1 (en) * 2013-10-23 2015-04-23 Qualcomm Incorporated LASER ANNEALING METHODS FOR INTEGRATED CIRCUITS (ICs)
US9373512B2 (en) 2013-12-03 2016-06-21 GlobalFoundries, Inc. Apparatus and method for laser heating and ion implantation
US9343307B2 (en) * 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
US20150343560A1 (en) * 2014-06-02 2015-12-03 Fracturelab, Llc Apparatus and method for controlled laser heating
DE112014005277T5 (de) * 2014-06-12 2016-10-06 Fuji Electric Co., Ltd. Vorrichtung zum Einbringen von Verunreinigungen, Verfahren zum Einbringen von Verunreinigungen und Verfahren zur Herstellung eines Halbleiterelements
US9559023B2 (en) 2014-06-23 2017-01-31 Ultratech, Inc. Systems and methods for reducing beam instability in laser annealing
JP6439297B2 (ja) * 2014-07-04 2018-12-19 富士電機株式会社 不純物導入方法、不純物導入装置及び半導体素子の製造方法
US9613815B2 (en) * 2014-11-24 2017-04-04 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9859121B2 (en) * 2015-06-29 2018-01-02 International Business Machines Corporation Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure
CN108028213B (zh) * 2015-12-30 2021-12-21 玛特森技术公司 用于毫秒退火系统的预热方法
US11045906B2 (en) * 2017-12-07 2021-06-29 Gkn Aerospace St. Louis Llc Coaxial wire feed multi-laser metal deposition device
KR102546719B1 (ko) * 2018-09-04 2023-06-21 삼성전자주식회사 모니터링 장치 및 모니터링 방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4926456A (ja) * 1972-07-11 1974-03-08
JPS5629323A (en) * 1979-08-17 1981-03-24 Nec Corp Two-wavelength laser surface treating apparatus
JPS57111020A (en) * 1981-11-16 1982-07-10 Hitachi Ltd Manufacture of semiconductor device
JPS6380521A (ja) * 1986-09-24 1988-04-11 Furukawa Electric Co Ltd:The 半導体薄膜結晶層の製造方法
JPH0521340A (ja) * 1991-07-10 1993-01-29 Ricoh Co Ltd 薄膜半導体装置、その製法および製造装置
JP2000036464A (ja) * 1998-07-17 2000-02-02 Sony Corp 薄膜半導体装置の製造方法
JP2002217125A (ja) * 2001-01-23 2002-08-02 Sumitomo Heavy Ind Ltd 表面処理装置及び方法
JP2002305145A (ja) * 2001-04-06 2002-10-18 Seiko Epson Corp 薄膜半導体装置の製造方法および製造装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS577125A (en) * 1980-06-17 1982-01-14 Fujitsu Ltd Laser heater
US4356375A (en) 1980-07-10 1982-10-26 Avery International Corporation Process for producing lines of weakness in the protective backing of an adhesive laminate
JPS57104217A (en) * 1980-12-22 1982-06-29 Toshiba Corp Surface heat treatment
JPS57183024A (en) * 1981-05-02 1982-11-11 Fujitsu Ltd Laser annealing
JPS57183023A (en) * 1981-05-02 1982-11-11 Fujitsu Ltd Laser annealing
US4761786A (en) 1986-12-23 1988-08-02 Spectra-Physics, Inc. Miniaturized Q-switched diode pumped solid state laser
US4734912A (en) 1986-06-06 1988-03-29 Lightwave Electronics Corp. Laser diode end pumped Nd:YAG single mode laser
US4908493A (en) 1988-05-31 1990-03-13 Midwest Research Institute Method and apparatus for optimizing the efficiency and quality of laser material processing
US5057664A (en) 1989-10-20 1991-10-15 Electro Scientific Industries, Inc. Method and apparatus for laser processing a target material to provide a uniformly smooth, continuous trim profile
JPH03266424A (ja) * 1990-03-16 1991-11-27 Sony Corp 半導体基板のアニール方法
US6326248B1 (en) * 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US6208673B1 (en) 1999-02-23 2001-03-27 Aculight Corporation Multifunction solid state laser system
US6366308B1 (en) 2000-02-16 2002-04-02 Ultratech Stepper, Inc. Laser thermal processing apparatus and method
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
SG108878A1 (en) * 2001-10-30 2005-02-28 Semiconductor Energy Lab Laser irradiation method and laser irradiation apparatus, and method for fabricating semiconductor device
JP3992976B2 (ja) * 2001-12-21 2007-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6767799B2 (en) * 2001-12-28 2004-07-27 Semiconductor Energy Laboratory Co., Ltd. Laser beam irradiation method
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
JP2003347237A (ja) * 2002-05-30 2003-12-05 Mitsubishi Electric Corp 半導体装置の製造方法およびその製造装置
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4926456A (ja) * 1972-07-11 1974-03-08
JPS5629323A (en) * 1979-08-17 1981-03-24 Nec Corp Two-wavelength laser surface treating apparatus
JPS57111020A (en) * 1981-11-16 1982-07-10 Hitachi Ltd Manufacture of semiconductor device
JPS6380521A (ja) * 1986-09-24 1988-04-11 Furukawa Electric Co Ltd:The 半導体薄膜結晶層の製造方法
JPH0521340A (ja) * 1991-07-10 1993-01-29 Ricoh Co Ltd 薄膜半導体装置、その製法および製造装置
JP2000036464A (ja) * 1998-07-17 2000-02-02 Sony Corp 薄膜半導体装置の製造方法
JP2002217125A (ja) * 2001-01-23 2002-08-02 Sumitomo Heavy Ind Ltd 表面処理装置及び方法
JP2002305145A (ja) * 2001-04-06 2002-10-18 Seiko Epson Corp 薄膜半導体装置の製造方法および製造装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010541273A (ja) * 2007-10-01 2010-12-24 エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ 光束によるウェハの加熱方法
JP2010109363A (ja) * 2008-10-06 2010-05-13 Ultratech Inc プレスパイクおよびポストスパイク温度制御をともなう基板の熱処理
JP2012507878A (ja) * 2008-11-04 2012-03-29 ソイテック プライミングおよび光束によって板状体の層を加熱するための方法および装置
JP2011003630A (ja) * 2009-06-17 2011-01-06 Sumitomo Heavy Ind Ltd レーザ照射装置、及びレーザ照射方法
JP2012035302A (ja) * 2010-08-06 2012-02-23 Jfe Steel Corp 電子ビーム照射装置

Also Published As

Publication number Publication date
US7148159B2 (en) 2006-12-12
US20060246694A1 (en) 2006-11-02
TW200515491A (en) 2005-05-01
US7879741B2 (en) 2011-02-01
TWI246119B (en) 2005-12-21
JP2012231158A (ja) 2012-11-22
WO2005043696A2 (en) 2005-05-12
JP5517396B2 (ja) 2014-06-11
WO2005043696A3 (en) 2005-11-24
US20050067384A1 (en) 2005-03-31

Similar Documents

Publication Publication Date Title
JP5517396B2 (ja) 低濃度ドープシリコン基板のレーザー熱アニール
JP5094825B2 (ja) 低濃度ドープされたシリコン基板のレーザ熱アニール
US7098155B2 (en) Laser thermal annealing of lightly doped silicon substrates
US11945045B2 (en) Annealing apparatus using two wavelengths of radiation
US9711361B2 (en) High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
KR100899321B1 (ko) 저농도로 도핑된 실리콘 기판의 레이저 열 어닐링

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070116

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110511

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110531

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110629

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110802

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120626

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120703

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120801

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20121122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130828

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130902

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131001

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131101

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140401

R150 Certificate of patent or registration of utility model

Ref document number: 5517396

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees