JP2010109363A - プレスパイクおよびポストスパイク温度制御をともなう基板の熱処理 - Google Patents

プレスパイクおよびポストスパイク温度制御をともなう基板の熱処理 Download PDF

Info

Publication number
JP2010109363A
JP2010109363A JP2009231615A JP2009231615A JP2010109363A JP 2010109363 A JP2010109363 A JP 2010109363A JP 2009231615 A JP2009231615 A JP 2009231615A JP 2009231615 A JP2009231615 A JP 2009231615A JP 2010109363 A JP2010109363 A JP 2010109363A
Authority
JP
Japan
Prior art keywords
image
temperature
substrate surface
substrate
scan path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009231615A
Other languages
English (en)
Other versions
JP2010109363A5 (ja
JP5586200B2 (ja
Inventor
Arthur W Zafiropoulo
ダブリュー ザフィロポーロ アーサー
Andrew M Hawryluk
エム ホーリュク アンドリュー
James T Mcwhirter
ティー マックワールター ジェームス
Serguei G Anikitchev
ジー アニキチフ セルゲイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ultratech Inc
Original Assignee
Ultratech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ultratech Inc filed Critical Ultratech Inc
Publication of JP2010109363A publication Critical patent/JP2010109363A/ja
Publication of JP2010109363A5 publication Critical patent/JP2010109363A5/ja
Application granted granted Critical
Publication of JP5586200B2 publication Critical patent/JP5586200B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

【課題】基板表面の熱処理、例えば基板の制御されたレーザー熱アニール(LTA)のための装置および方法を提供する。
【解決手段】通常基板表面32を第1および第2像150,250で照射して基板表面32の領域をスキャンパスに沿って実質的に均一なピーク処理温度で処理することを含む。第1像150は、基板のスパイクアニールを行う作用を行うことができるのに対し、第2像250は、スパイクアニールの前および/または後に補助的な熱処理を基板にもたらすために使用することができる。プレスパイクおよび/またはポストスパイクの温度プロファイルに対する制御は、ウェーハ30に発生する応力および歪みを低減することもできる。
【選択図】図1

Description

本発明は、任意にオーバーラップした第1および第2画像を生ずる複数個の放射線源を用いる基板の熱処理に関する。特に、本発明は、基板表面の領域をそれぞれ任意に制御したプレスパイク温度、続いて制御した均一なピーク温度、さらに続いて任意に制御したポストスパイク温度で処理する熱処理に関する。
半導体ベースのマイクロ電子デバイスの作製は、半導体基板に「熱処理」を施して該基板の接合領域(例えば、ソースおよびドレイン領域)に注入したドーパント原子を活性化することをしばしば含む。例えば、トランジスタのソース/ドレイン部を、シリコンウェーハの領域を静電的に加速されたドーパントに曝すことにより形成することができる。注入後、ドーパントは電気的に不活性である。これらドーパントの活性化は、基板をアニールする、すなわち、基板を特定の処理温度に、結晶格子がドーパントをその構造内に取り込むに十分な期間加熱することにより達成することができる。必要な期間は、処理温度に依存する。高温に長期間曝す場合、ドーパントが格子全体に拡散する傾向がある。その結果、ドーパント分布プロファイルは、理想的なボックス形状から浅い指数関数的減退を有するプロファイルに変化する場合がある。
より高いアニール温度およびより短いアニール時間を用いることにより、ドーパント拡散を低減し、注入後達成したドーパント分布プロファイルを保持することが可能である。例えば、熱処理(TP)は、集積回路(IC)のような半導体デバイスの作製方法の一部として、シリコンウェーハに形成したソース/ドレイン領域をアニールするための特定の技術を包含する。急速熱処理(RTP)の目的は、ウェーハを半導体の融点に近い温度まで急速加熱してドーパントを置換格子サイトに取り込み、次いでウェーハを急冷してドーパントを所定の位置に「凍結する」ことにより、非常に高い導電率を有する浅いドープ領域を調製することにある。
レーザーを基にした技術を用いて、従来のRTPシステムに用いたものよりはるかに短いタイムスケールでTPを実行する。レーザーを基にしたTP技術を記載するのに用いる典型的な専門用語としては、レーザー熱処理(LTP)、レーザー熱アニール(LTA)およびレーザースパイクアニール(LSA)がある。場合によっては、これらの用語を互換的に使用することができる。いずれにしても、これらの技術は、通常レーザービームを長く薄い像に形成し、次いでこの像を加熱すべき表面、例えば半導体ウェーハの上側表面をスキャンすることを含む。例えば、0.1mm幅のビームを半導体ウェーハ表面上に100mm/sでラスタスキャンして加熱サイクルに対して約1ミリ秒未満のドゥエル時間をもたらすことができる。この加熱サイクル中の一般的な最高温度は1350℃かもしれない。ウェーハ表面を最高温度にするのに必要なドゥエル時間内で、表面領域より約100〜約200マイクロメートル下の層が加熱される。その後、ミリメーター厚のウェーハのバルクが、レーザービームが通過するとすぐに加熱された表面を冷却する働きをする。
LTPは、パルスまたは連続放射線のいずれかを使用することができる。例えば、LTPは、赤外線波長、例えばλ=10.6μmの連続で、高出力のCOレーザービームを使用することができ、これをウェーハ表面にラスタスキャンして該表面の全領域をスパイク加熱ビームの少なくとも1回の通過に曝すようにする。一般的なウェーハ特性の寸法に対し大きな上記波長を、ビームがパターン化シリコンウェーハの全域をスキャンしてウェーハ上の各ポイントに上記と同じ最高温度に極めて近い温度がもたらされると、時々均一に吸収することができる。
それにもかかわらず、軽くドープされたシリコンおよびドープされてないシリコンは、ビームの光子エネルギーがドープされてないシリコンのバンドギャップエネルギーより小さいので、約400℃を大いに下回る温度で10.6μmの放射線のCOレーザースパイクアニールビームからの放射線をかなり吸収することができない場合がある。したがって、ベークマンによる特許文献1は、表面および半導体バンドギャップエネルギーを有する半導体基板を熱処理する方法を開示する。該方法は、基板に半導体バンドギャップエネルギーより大きなエネルギーを持つ光子の活性化放射線ビームを照射して該基板を局所的に加熱し、アニール放射線ビームの吸収量を増大させることを含む。次に、自由キャリアにより吸収される光子を有するアニール放射線を基板に照射して該基板を実質的に加熱する。
他の特許には、1つ以上のレーザービームを使用し得る技術が開示されている。例えば、トールウォー(Talwar)らによる特許文献2は、室温で基板に実質的に吸収されないアニール放射線ビームを用いて基板のレーザー熱アニール(LTA)を行う技術を開示する。該技術は、第一のビームを用いて基板を臨界温度に予熱し、次いで基板にアニール放射線を照射して基板をアニールし得るピーク温度を発生することを含む場合がある。一般に、短時間でピーク温度に達し、熱スパイクをもたらす。その後、基板全体を冷却することができる。
それにもかかわらず、未制御の加熱および/または冷却が、基板に未制御の応力を導入する場合がある。かかる応力は、基板が例えばICのようなマイクロ電子デバイスを含む場合に、準最適な電子性能をもたらすことができる。極端な場合は、未制御の応力は、基板の破損につながる破滅的な機械的故障をもたらす可能性がある。また、単一のドゥエル時間を有する単純なレーザーアニールは、デバイスに対して最適な電子性能をもたらすことができない。短いドゥエル時間を有するレーザーアニールは、僅かな拡散又は拡散なしで高活性化をもたらす。レーザーアニールによる高活性化とともに少量の拡散の利益を享受する幾つかのデバイス設計がある。他のデバイス作製の実装において、短期間の第2(より低い温度の)アニールが、構造体の注入領域における欠陥を除去するのに有益な場合がある。応力管理とデバイス性能最適化の両方を、追加的な熱ビームによりもたらすことができる。
したがって、レーザーアニールなどの技術を含む熱処理におけるプレおよび/またはポスト熱スパイク温度に対する制御を行うことが技術的に必要である。
米国特許出願公開第2007/0072400号明細書 米国特許第7,148,159号明細書
一実施態様において、本発明は基板の表面を熱処理するための装置を提供する。該装置は、載物台、複数個の放射線源、および当該載物台ならびに放射線源に動作可能に連結した制御器を備える。載物台は、基板を支持し、基板表面を放射線受信位置に置く。放射線源は、上側基板表面と任意に重複する像を形成する。制御器は、基板表面と像との間に相対的スキャン動作をもたらして、像が実質的に均一なピーク処理温度でスキャンパスに沿って基板表面の領域を処理し得るようにする。
一般に、第1および第2の像を第1および第2放射線源によりそれぞれ形成する。さらに、これら像は、制御された強度プロファイルおよびサイズを有することができる。相対的スキャン動作を制御し、任意に逆にすることもできる。その結果、第1および第2像は、共同して、基板表面の領域を、すべて完全に制御された速度で、初期温度から第1の中間温度に例えば徐々にし、次にスパイク処理期間ピーク処理温度にし、例えばスパイク法で第2の中間温度にし、続いて最終温度に例えば徐々に冷却することができる。場合によっては、中間温度を独立して約400℃〜約1000℃の範囲から選択することができる。中間温度をほぼ等しくすることができる。
加熱および/または冷却速度を様々な目的、例えば基板中の応力蓄積を低減する目的および/または基板の電子性能を改善する目的のために選択することができる。場合によっては、プレスパイク加熱速度は、基板表面の領域を約2秒未満で初期温度から第1中間温度に加熱することができるので、温度が所望の方法で増大して所望の温度プロファイルを形成する。該温度プロファイルは、線形または非線形とすることができる。同様に、ポストスパイク冷却速度を、類似の方法で選択することができる。
ピーク温度を変えることができる。例えば、ピーク温度は、シリコンウェーハからなる基板に対して約1412℃未満とすることができる。さらに、スパイク処理期間は、約10ミリ秒以下とすることができる。
異なる放射線源を使用することができる。適切な放射線源として、例えば、変動波長のレーザー、レーザーダイオード、加熱ランプがある。用途に応じて、放射線源は、連続および/またはパルス化ビームをもたらすことができる。該ビームを用いて、平行でないスキャンパスに沿って移動するに適応した縦軸を有する細長い像、または縦軸に少なくとも部分的に垂直な細長い像をもたらすことができる。
他の実施態様においては、基板の表面を熱処理するための方法を提供する。該方法は、基板表面を任意に重複する第1および第2の像で照射し、基板表面と像との間に相対的スキャン動作を付与して基板表面の領域をスキャンパスに沿って実質的に均一なピーク処理温度で処理することを備える。第1および第2像は、スキャンパスに沿った基板表面の領域を例えば、
(a)制御されたプレスパイク加熱速度で初期温度から第1中間温度に加熱し、
(b)スパイク処理期間内で第1中間温度からピーク処理温度を経て第2中間温度にし、
(c)制御されたポストスパイク冷却速度で第2中間温度から最終温度に冷却することができる。
さらに別の実施態様においては、上述の方法および/または装置を用いて製造したマイクロ電子デバイスを含む半導体ウェーハを提供する。該ウェーハは、約65nm以下のリソグラフィノードのデバイスを含むことができる。
本発明に係る熱処理装置のある典型的な実施態様の線図的側面図である。 本発明のある典型的な方法による時間に対する基板表面の領域が経験した温度をプロットしたグラフである。 熱処理を受ける図1の基板表面の平面図で、基板表面で形成されたアニールビーム像および補助的なビーム像の重複のある典型的な実施態様を示す。 図4Aおよび4Bを共同して図4と呼び、図3に類似したある典型的な実施態様を示す平面図である。図4Aにおいて、補助的なビーム像が一般にアニールビーム像に先行するので、補助的なビームのみがスパイクアニール像の主要領域に重複する。図4Bにおいて、補助的なビーム像が一般にアニールビーム像に続くので、アニールビーム像のみが補助的なビーム像の主要領域に重複する。 補助的なビーム像がアニールビーム像と対しスキャン方向に前方に延在し、2個の像が重複するある典型的な実施態様を示す図3に類似した平面図である。 補助的なビーム像がアニールビーム像全体を包含するある典型的な実施態様を示す図3および図5に類似した平面図である。 各々光ファイバーを供給する複数個の補助的な放射線ビーム源を含む補助的なビームユニットを示す。 基板表面を照射するための図7の補助的なビームユニットの使用を線図的に示す。 任意の時点におけるY軸に沿った基板表面に対するアニール像150および補助的な像250の相対強度の「スナップショット」をグラフ的に示す。
図面は、当業者が理解し、適切に実施し得る本発明の種々の観点を示すことを意図するものである。図のある特性を強調及び/又は明瞭に表示するため誇張しえるので、図面はスケールに対応し得るものでない。
定義および概観
本発明を詳細に記載する前に、本発明は、特記せぬ限り、特定の基板構造、基板材料、放射線源に限定するものではなく、このようなものが変化することがあると理解されよう。また、ここで用いる専門用語は、特定の実施態様を記載する目的のみであり、限定する意図はないこともまた理解されよう。
明細書および添付の特許請求の範囲において用いるように、文脈から明らかにそうでない限り、単数形(aおよびanおよび(the)」は複数の指示対象を含む点に留意すべきである。したがって、たとえば、「あるひとつのビーム」に対する言及には、単一のビームに加えて複数のビームが含まれ、「あるひとつの波長」に対する言及には、単一の波長に加えて複数の波長が含まれ、「あるひとつの領域」に対する言及には、単一の領域に加えて複数の領域が含まれる等が挙げられる。
本発明を記述しまた請求する際に使用される専門用語の定義は下記の通り。
用語「ブルースター角」は、p偏光の表面からの最小またはほぼ最小反射率の角度を言及するのに用いる。厳密に言えば、シリコンウェーハのような物体の表面上の膜は、該物体が反射率を最小にする真のブルースター角を有するのを防止する場合がある。したがって、基板上に積層した種々の異なる膜から形成した反射面に対しここで用いるブルースター角は、有効なブルースター角、すなわちp偏光放射線の反射率が最小である角度と考えることができる。一般に、この最小角度は、基板に対する真のブルースター角と一致するか、または近いものである。
用語「レーザー」は、その通常の意味で用いられ、誘導放出と称する処理により電磁放射線(光)を放出するデバイスを参照する。
かかる放射線は、通常空間的にコヒーレントであるが、必ずしもそうではない。
一般に、レーザーは、狭い波長スペクトルを有する電磁放射線(「単色」光)を放出するが、必ずしもそうではない。
用語「レーザー」は、その用法が明示されていない限り、広く解釈されるべきで、その解釈は、例えばCOレーザーのようなガスレーザー、およびレーザーダイオードを包含することができる。
用語「リソグラフィノード」は、ライン間隔に関する工業規格セットと、繰り返し配列における半導体ベース集積回路の大量生産に伴う他の幾何学的配慮に属するものとする。一般に、ノードが小さくなることは、線幅が小さくなり、デバイス密度が大きくなることに対応する。
用語「任意の」および「任意に」は、その通常の意味で用いられ、引き続いて記載した状況が生起、または生起し得ないことを意味し、したがって、該記述は、状況が生起する場合と、状況が生起しない場合を含む。
用語「半導体」は、絶縁体より大きいが良導体より小さい電気伝導度を有し、コンピュータチップおよび他の電子デバイス用の基材として用い得るあらゆる固体物質を参照するのに用いる。半導体は、例えば、シリコンまたはゲルマニウムのような単一の元素から実質的になるか、又は炭化ケイ素、りん化アルミニウム、ヒ化ガリウムおよびアンチモン化インジウムのような化合物からなる場合がある。特記せぬ限り、用語「半導体」は、元素および化合物半導体のいずれか一つ又は組み合わせ、ならびに例えば、張力および/または圧縮下の半導体のような歪み半導体を含む。本発明での使用に適した典型的な間接バンドギャップ半導体は、Si、GeおよびSiCを含む。本発明での使用に適した直接バンドギャップ半導体は、例えば、GaAs、GaNおよびInPを含む。
用語「実質的な」および「実質的に」は、その通常の意味で用いられ、重要性、値、度合い、量、範囲などの考慮し得る事柄を参照する。例えば、「実質的に均一なピーク処置温度」のフレーズは、僅か数℃の範囲内にあるピーク処理温度を言及するもので、本発明に照らして見れば、ピーク処理温度のいかなる変動も事実上無視できる。用語「実質的に」の他の用法は、類似の定義を含む。
ここで用いられる用語「基板」は、処理することを意図した表面を有するあらゆる物質、例えば回路を形成または作製し得る支持物質を参照する。基板を、あらゆる多数の形状、例えばチップの配列を含む半導体ウェーハなどのように構成することができ、また1個以上の非半導体材料ならびに1個以上の半導体材料とすることができる。
関連する事柄として、ここで用いる用語「ウェーハ」は、一般に単一のトランジスタまたは集積回路コンポーネントを形成する基材として用いる半導体の薄いスライスを言及する。ここで、文脈に明らかに反しない限り、用語「ウェーハ」および「基板」を互換的に使用することができる。
一般に、本発明は基板表面の熱処理、例えば基板の制御されたレーザー熱アニール(LTA)に関する。本発明は、通常基板表面に第1および第2像を照射して該基板表面の領域をスキャンパスに沿って実質的に均一なピーク処理温度で処理することを含む。通常、これは、基板、第1および第2放射線源を支持して上側基板表面上に第1および第2像をそれぞれ形成するための載物台と、載物台と放射線源に動作可能に連結して基板表面と像との間で任意に可逆なスキャンパスに対応する相対的スキャン動作をもたらすための制御器とを使用することにより達成される。
放射線源は、一般に異なるタイプの像を産出する。一般に、少なくとも一つの放射線源を用いて、基板のスパイクアニールを行うのに役立ち得る像を産出する。他の源を用いて、スパイクアニールの前および/または後に補助的な熱処理を基板にもたらすことができる。
一般に、第1および第2像は重複する。そのような場合、第1像は、第2像に先行するスキャンパスに沿った基板表面の領域を初期温度から第1中間温度に制御されたプレスパイク加熱速度で加熱する、および/または、第2像に続いてスキャンパスに沿った基板表面の領域を第2中間温度から最終温度に制御されたポストスパイク冷却速度で冷却するのに有効な強度プロファイルおよびサイズを有することができる。第2像は、スキャンパスに沿った基板表面の領域をスパイク処理期間内に第1中間温度からピーク処理温度を経て第2中間温度にするのに有効な強度プロファイルおよびサイズを有することができる。プレスパイクおよび/またはポストスパイク温度プロファイルに対する制御は、ウェーハ中に生じた応力および歪みを低減すること、および/または、デバイス性能を改善することができる。
アニールおよび補助的な像が重複する必要はない。予熱に必要なスパイクアニールビームの十分な吸収がある場合、アニールおよび補助的なビームを用いて、別個のアニールおよび補助的な像を形成することができる。これは、ビームの熱的特性に対する独立した制御並びに対応する像の熱的効果を可能にする。すなわち、第2(スパイク)アニールビームを用いてウェーハ温度をそのピーク温度にすることができるのに対し、第1(非スパイク)ビームは、異なる期間(一般に、より長い期間)ウェーハをその中間温度にすることができる。
典型的な装置
ある装置の実施態様において、本発明は、基板の表面を熱処理するための装置を提供する。該装置は、載物台、複数個の放射線源および載物台および放射線源に動作可能に連結した制御器を備える。載物台は、基板を支持し、基板表面を放射線受信位置に置く。放射線源は、上側基板表面上に重複する像を形成する。制御器は、基板表面と重複像との間に相対的スキャン動作をもたらし、これら像がスキャンパスに沿って基板表面の領域を実質的に均一なピーク処理温度で処理することを可能にする。第1および第2像、例えば、スパイクアニール像および補助的な像を、それぞれ第1および第2放射線源により形成する。さらに、これら像は、制御された強度プロファイルおよびサイズを有することができる。なお、相対的スキャン動作を制御することができる。その結果、第1および第2像は、共同して、すべて制御した速度で基板表面の領域を初期温度から第1中間温度に例えば徐々にし、次にスパイク処理期間にピーク処理温度に、また例えばスパイク法で第2中間温度にし、続いて最終温度に例えば徐々に冷却することができる。
図1は、本発明に係る熱処理装置10の典型的な実施態様の線図で、該装置を用いて基板の1個以上の選択した表面領域をアニールおよび/または熱処理することができる。LTPシステム10は、上側表面32を有する半導体基板30を支持する上側表面22を備えた可動基板載物台20を含む。ある典型的な実施態様において、半導体基板30は、赤外線(IR)スパイク加熱ビーム放射線を効率的に吸収しないタイプのものである。しかし、場合によっては、半導体基板が他の波長の放射線を容易に吸収することができる。基板を一定の背景温度をもたらす加熱および/または冷却チャックの上に任意に置くことができる。該チャックは、約−20℃〜600℃の温度を示すことができる。
基板載物台20を載物台ドライバ40に動作可能に連結し、次いで制御器50に動作可能に連結する。基板載物台20は、制御器50および載物台ドライバ40の運転下でX−Y平面(ならびにZ軸に沿って)内で移動するよう適合されているので、後述するように基板を第1および第2ビームに対してスキャンすることができる。
LTPシステム10はさらに、スパイクアニールビームユニット100を含み、ある典型的な実施態様では、軸A1に沿って並んで制御器50に動作可能に連結したスパイクアニール放射線源110と、スパイクアニール光学システム120とを含む。ある典型的な実施態様において、スパイクアニール放射線源110は、10.6マイクロメートルまでの波長λで放射線を放出するCOレーザーである。しかし、スパイクアニール放射線源として、LEDまたはレーザーダイオード放射線も使用することができる。例えば、LEDまたはレーザーダイオードの配列を、潜在的に光ファイバーと組み合わせて使用することができる。LEDおよびダイオード技術をより詳細に後述する。いずれにしても、スパイクアニール放射線源110が、スパイクアニール光学システム120により受け取られる放射線130を放出し、次いでスパイクアニールビーム140を形成する。スパイクアニールビーム140は、光学軸A1に沿って移動し、基板表面垂線Nとθの角度をなす。
スパイクアニールビーム140は、基板表面32で像150(以後「アニールビーム像」)を形成する。ある典型的な実施態様において、像150は、例えば、基板表面上をスキャンして熱処理を行うのに適した線像のような細長い像である。アニールビーム像150を外縁152(例えば、図3−6に示すように)で束縛する。第1近似式に対しては、基板表面32での温度がスキャン方向におけるアニールビーム像プロファイル下でビーム強度の積分に比例する。この積分は、線像の長さに沿って変わるので、温度が長さに沿ったある点で基板を処理するための所望の温度、例えばアニールに対する閾値温度を下回る。
すなわち、有用な熱処理が生起する線像の範囲を画定する線像に沿った境界がある。該境界は、隣接したスキャンが一緒にぶつかった場所である。ある典型的な実施態様において、補助的なビームが狭いアニールビーム像の両側にスパイクアニールビーム終端境界を越えて延在する表面領域を照らす。その結果、アニールビーム強度が5%以上の場合、これが基板表面近くで効率的に吸収される。このことは、スパイクアニールビームエネルギーのほぼ全てが効率的に利用されることを約束する。
装置10はまた、補助的なビームユニット200を含み、ある典型的な実施態様では軸A2に沿って並んで制御器50に動作可能に連結した補助的な放射線源210と、補助的な光学システム220とを含む。
ある典型的な実施態様において、補助的な放射線源210は、半導体基板30のスパイクアニールの前および/または後で補助的な熱処理を可能にする放射線を放出する。補助的な放射線源210は、補助的な光学システム220により受け取られる放射線230を放出し、次いで補助的なビーム240を形成する。補助的なビーム240は、光学軸A2に沿って移動し、基板表面32で像250(以後「補助的なビーム像」)を形成する。補助的なビーム像250は、ある典型的な実施態様において閾値強度値により画定し得る外縁252(図3−6)を有する。外縁252は、前縁254および後縁256(図3)を含む。
補助的な放射線源210は、多数の異なる形状をとることができる。場合によっては、単一のレーザーダイオードを使用することができる。或いはまた、本発明は、複数個のエミッター、例えばLEDまたはレーザーダイオードを使用することができる。かかるエミッターをパターン、アレイまたは他の都合の良い配列に配置することができる。場合によっては、線源は、棒、スタック、またはファイバーカップルドモジュールの形をとることができる。例えば、線源は、800−830nmのスペクトル範囲における放射線を放出する半導体レーザー棒を含むことができる。かかるダイオード棒の例は、Spectra‐Physics社から販売されているTucspn AZである。長さ約1cmの棒は、90ワットの連続出力を放出することができる。この波長では、ドープされていないシリコンの吸収長は、約10ミクロンで、より長い波長のスパイクアニールビーム140を効果的に吸収するのに必要な大体な深さである。
なお、光ファイバー技術を使用することができる。例えば、図7に示すように、補助的なビームユニット200は、複数個の補助的な放射線ビーム発生器210を光ダイオードまたはレーザーダイオードの形状で含み、各々補助的な光学システム220の光ファイバー222を供給することができる。光ファイバー222は、最密線形配列を形成するように配置させることができる。各発生器210は、補助的な光学システム220により受け取られる放射線230を放出し、次いで補助的なビーム240を形成する。レンズ224を設けて、基板表面32に達する前にビームを集中させることができる。理想的な場合において、基板表面32はレンズ224により形成した投影面を示す。ファイバー配列を、各ファイバーが主に小さな区域に沿って照明を設けることに関与するように基板上に像化することができ、またある場合には重複を隣接したファイバーの間に設けて良好な均一性を達成することができる。後述するように、各区域用の発生器を独立して調節して、任意または所定の照明プロファイルをウェーハ上に調製することができる。
図1に戻って、補助的なビームの軸および基板垂線が一致して示されているが、放射線ビームレーザーを基板上に垂直入射で像化することはしばしば望ましくない。例えば、レーザーを使用する場合、あらゆる反射光が、レーザー空洞に戻る際に、不安定性を生起する場合がある。したがって、図1に示した装置を、光学軸A2が表面垂線Nに対してある角度(すなわち、非垂直入射)で位置するように修正することができるので、基板表面32から反射する補助的な放射線は、補助的な放射線源210またはスパイクアニール放射線源110に戻らない。詳細に後述するように、光学軸A2を垂直入射以外のある入射角で設ける別の理由は、補助的なビーム240の基板への効率的な結合が入射角および偏向方向の賢明な選択、例えば入射角を基板に対するブルースター角と等しくし、p偏光放射線を用いることにより最も達成することができるからである。
いずれにしても、光ファイバーの技術を有利に用いて本発明のコンポーネント間に適切な空間的関係を確保することができる。例えば、図8は、どのように図7の補助的なビームユニット200を再配置して、発生器210を基板から鏡面的に反射された放射線160のパス内に配置することを回避することができるかを線図的に示す。後述するように、テレセントリックリレーシステムのような追加の光学装置を光ファイバーまたは導波技術と共に使用することができる。本発明と関連した光ファイバー技術の他の用法は、日常の実験から当業者には明らかになるであろう。
典型的な方法
本発明の方法を詳細に記述する前に、いくらかの歴史的観点を示す。現在、多くのレーザー熱処理技術、例えばスパイクアニール技術は、連続COレーザービームをブルースター角またはその近傍(〜75°の入射)で基板をたたくビームに成形することを必要とする。かかるビームにより形成した像は、約0.1mm幅および約10mm長さとすることができる。ビームを基板上にその長さ方向と垂直な方向でスキャンし、スキャン中の積分線量がビームの10mmの長さに対し約1%にそろえなければならない。
かかるレーザー熱処理技術を行うために、基板の全体をスパイクアニール像の形成前に、例えば加熱したチャックまたは加熱ランプにより所望の中間温度(一般に、400℃〜700℃)まで均一に予熱することができる。基板を約1秒〜数十秒で中間温度まで予熱することができる。中間温度に達すると、これをある期間(例えば、1秒〜数十秒、もしかすると100秒)保持する。通常、熱スパイクアニールは、ビームを基板上にスキャンすると、短期間(一般に、数分の1ミリ秒〜数ミリ秒)内に生じる。COレーザービームが基板を中間温度でたたくので、該ビームが容易に吸収される。次に、基板全体をゆっくり冷却する。冷却は、通常数十秒かかり、基板熱がその周囲の領域に放射するので制御されない。
対照的に、本発明は、上述した加熱チャックまたはランプに加えて、若しくはその代わりに、予熱およびポストスパイク冷却を制御するための補助的な放射線源の使用を含む。基板全体を室温または高温で開始することができる。補助的な放射線源を用いて広い面積を照らし、所望の温度に予熱することができる。しかし、ランプ(ramp)上昇速度および/またはランプ持続時間ならびに予熱温度を、補助的な放射線源からの放射線により形成した像の強度プロファイルにより制御することができる。同様に、補助的な放射線源から形成した像の強度プロファイルを用いて、ランプ(ramp)下降速度およびランプ下降持続時間を制御することができる。基板のバルクが室温または最初の高温で残留し、ランプ下降速度を制御するのを助ける。
要するに、本発明の多くの実施態様の1つは、基板の表面を熱処理するための方法を提供する。該方法は、基板を室温または高温とすることができるように基板表面に第1および第2の重複像を照射し、基板表面と重複像との間に相対的スキャン動作を付与してスキャンパスに沿った基板表面の領域を実質的に均一なピーク処理温度で処理することを含む。この第1および第2像は、例えばスキャンパスに沿った基板表面の領域を
(a)初期温度から第1中間温度に制御されたプレスパイク加熱速度で加熱し、
(b)第1中間温度からピーク処理温度、さらに第2中間温度にスパイク処理期間内でし、
(c)第2中間温度から最終温度に制御されたポストスパイク冷却速度で冷却することができる。
任意に、工程(a)または工程(b)のいずれかを随意に省略するか、又はスパイクアニール無しで別個に用いることができる。
スパイクアニール処理を改善するために、本発明は、補助的なレーザーおよび適切な光学系を用いて、
(1)スパイクアニールの実行前(および/または後)に基板の経験した予熱温度プロファイルを制御する、および/または、
(2)ポストスパイク冷却中またはスパイクアニール後に基板の経験した温度プロファイルを調節することができる。
図2は、本発明の一実施態様に従って処理した基板表面の特定領域により経験し得る温度のプロットを示す。図示のように、特定領域は室温で開始するが、該領域がいくらか高い温度で開始することができる。補助的なレーザーは、広範な領域を照らし、これを用いて特定領域をスキャンし、所望の中間水平温度に予熱することができる。該領域が所望の中間水平温度に達すると、アニールレーザー像を該領域上にスキャンしてそのスパイクアニールを行うことができる。スパイクアニール中、アニールレーザー像により照らされた領域の温度は所望のピーク処理温度に急上昇することができる。アニールレーザー像が通り過ぎると、特定領域の温度が中間水平温度まで急降下し、最初の温度、例えば室温、最初の高温または時間を経たチャック温度まで制御可能にランプ下降させることができる。
上述した典型的なシナリオにおいて、ランプ上昇速度およびランプ持続時間の両方と予熱温度を、ウェーハを予熱するのに用いた補助的なレーザーの像の照度プロファイルにより制御することができる。同様に、同一のレーザーからの像の照度プロファイルを用いてランプ下降速度およびランプ下降持続時間を制御することができる。
前記の典型的なシナリオを、図1に示した装置を用いて実行することができる。制御器50は、制御信号S1をスパイクアニール放射線源110に送信してアニール放射線源を作動させることができる。それに応じて、スパイクアニール放射線源110は、LTP光学システム120により受け取られる放射線130を放出し、スパイクアニールビーム140を形成する。次いで、スパイクアニールビーム140は、軸A1に沿って基板表面32に進み、ここでアニールビーム像150を形成する。
制御器50はまた、制御信号S2を補助的な放射線源210に送信して補助的な放射線源を作動させる。それに応じて、補助的な放射線源210は、補助的な光学システム220により受け取られる放射線230を放出し、補助的なビーム240を形成する。次いで、補助的なビーム240は、軸A2に沿って基板表面32に進み、補助的なビーム像250を形成する。
図3は、上述したシナリオに関するアニールビーム像150および補助的なビーム像250の相対位置の典型的な実施態様を示す基板表面32のクローズアップ平面図である。図示のように、アニールビーム像150が補助的なビーム像250内に収まることができるが、いずれにしても画像エッジを厳格に定義することができない。図示のように、アニールビーム像150を補助的なビーム像250の前縁254と後縁256との間の中心に置く。
補助的なビーム像250は、図2に示すように、アニールビーム像150と少なくとも部分的に重複することができる。しかし、像の重複は、特に、加熱したチャックを使用する場合、本発明の必要条件ではない。図9は、特定の時点でY軸に沿ったアニールビーム像150および補助的なビーム像250の相対強度のスナップショットを付与するグラフである。図示のように、強度プロファイルを破線の曲線で示す像150は、強度プロファイルを実線の曲線で示す像250より高いピーク強度を示す。
制御器50はまた、制御信号S3を介して載物台ドライバ40を作動させる。次いで、載物台ドライバ40は、ドライバ信号S4を載物台20に送信して、図3に矢印322により示するように、載物台を負のY方向に移動させるので、アニールビーム像150および補助的なビーム像250が、矢印324により示すように、基板表面20上を正のY方向(すまわち、スキャン方向)にスキャンされる。その結果、スキャン像150および250により処理された基板表面の特定領域が、図2に示した温度プロファイルを経験することができる。
図4に示す他の典型的な実施態様において、補助的なビーム像250は、アニールビーム像150に直ちに先行するか、又は続くことができる。加熱ビーム像と補助的なビーム像との重複(または重複の欠如)の位置、サイズおよび量は、熱処理の所望の効果によって決まる。あるデバイスの最適化に対して、補助的なビームがアニールビームに続く必要があるが、他のデバイスに対しては、その逆が成り立つことができる。加熱したチャックを用いて基板の温度をアニールビームが容易に吸収されるように十分高く上げる場合、2個のビームが重複する必要はない。
例えば、図4Aに示すように、ポストスパイク冷却中に基板の経験した温度プロファイルを調節することなく、スパイクアニールを行う前に、本発明を用いて基板の経験した予熱温度プロファイルを制御することができる。この場合、補助的なビーム像250が、アニールビーム像150の先頭部分にのみ重複することができる。同様に、図4Bに示すように、スパイクアニール用の基板を予熱することなくスパイクアニールを行った後に、本発明を用いて基板の経験したポストスパイク温度プロファイルを制御することができる。この場合、補助的なビーム像250の先頭部分が、アニールビーム像150の後方部分にのみ重複することができる。
像幾何学の他の典型的な実施態様を図5に示し、この場合補助的なビーム像250をスキャン方向324でアニールビーム像150の前方に延在するように形成する。このことは、予熱の期間を予熱用のポストスパイク時間より長くすることができる。
像幾何学に他の典型的な実施態様を図6に示し、ここで補助的なビーム像250がXおよびY方向に沿ってアニールビーム像より大きい。
要するに、像強度プロファイル、像幾何学、スキャン速度などによって決まる局所温度と局所温度-時間勾配の両方を制御することにより局所化熱処理を行うために本発明を有利に使用することができる。
発明の変形
本発明の変形は、当業者には明らかであろう。例えば、図は重複するアニールおよび補助的な像を通常示すが、本発明はかかる像の重複を要求しない。さらに、日常の実験から、最適な第1および第2の中間温度が各々約400℃〜1000℃であることが分かる。中間温度は、同一または異なることができる。
本発明が予熱を使用する場合、制御されたプレスパイク加熱速度を選択して基板における応力蓄積を低減する、および/または、基板の電子性能を改善することができる。例えば、制御されたプレスパイク加熱速度は、第2像に先行するスキャンパスに沿った基板表面の領域を初期温度から第1中間温度に約2秒未満で加熱することができる。加えて、または代わりに、制御されたプレスパイク加熱速度は、第2像に先行するスキャンパスに沿った基板表面の領域を所望の温度プロファイルに沿って初期温度から第1中間温度に加熱することができる。
同様に、本発明が制御されたポストスパイク冷却技術を使用する場合、制御されたポストスパイク冷却速度を選択して基板における応力蓄積を低減する、および/または、基板の電子性能を改善することができる。場合によっては、制御されたポストスパイク冷却速度は、第2像に続づくスキャンパスに沿った基板表面の領域を第2中間温度から最終温度に約2秒未満で冷却することができる。加えて、または代わりに、制御されたポストスパイク冷却速度は、第2像に続づくスキャンパスに沿った基板表面の領域を第2中間温度から最終温度に所望の温度プロファイルに沿って冷却することができる。
シリコン基板に対して、ピーク温度は、溶融または半溶融アニール処理を所望するかどうかに応じて約1412℃未満とすることができる。いずれにしても、スパイク処理期間は、いかなる予熱またはポストスパイク冷却にかかわらず、約10ミリ秒以下とすることができる。
異なる放射線源を使用することができる。放射線源は、連続ビームを生成し得るレーザーおよびレーザーダイオードから独立して選択することができるが、それらに限定されない。一般に、アニール像は縦軸を有する長細い像であり、スキャンパスはかかる長細い像の縦軸に対して垂直である。いずれにしても、第1および第2像の相対位置ならびにこれらがスキャンパスに沿って進む順序を、例えば載物台に対する移動方向を変えることにより切り替え可能にする。
本発明を用いて生成した基板の経験した温度に対する前例のない制御のため、本発明を用いて処理したあらゆる半導体ウェーハが、技術的に既知の方法を用いたものに対し微細構造および/または電子性能に利点を示すと信じられている。かかる利点は、例えばオーウェンによる米国特許出願公開第2007/0212856号に記載された応力マッピングおよび計測技術のような既知の技術により求めることができる。したがって、本発明はまた、マイクロ電子デバイス、例えば約65nm以下および/または未満のリソグラフィノードのマイクロ電子デバイスを含むウェーハならびにマイクロ電子デバイス自体を提供する。従って、本発明の方法を用いて調製した約45nm以下、32nm、16nmおよび/または11nmのリソグラフィノードのマイクロ電子デバイスも、従来技術に対して新規かつ非自明な改善を示す。
さらに、本発明をその好適な特定の実施態様に関して記述したが、上述の記載は、説明を意図し、本発明の範囲を限定するものでないことが理解されよう。本発明の範囲内における他の観点、利点および変形は、当該技術分野の当業者には明らかであろう。
本明細書中で言及した全ての特許および特許出願は、先に述べた説明と矛盾しない限りにおいて、本明細書に参照して援用する。

Claims (33)

  1. 基板を支持し、該基板の表面を放射線受信位置に置くように適応した載物台と、
    第1および第2像を前記上側基板表面上にそれぞれ形成するように適応した第1および第2放射線源と、
    前記載物台および放射線源に動作可能に連結し、前記基板表面と像との間に相対的スキャン動作を付与して前記像がスキャンパスに沿った前記基板表面の領域を実質的に均一なピークスパイク処理温度で処理し得るように適応した制御器とを備え、
    前記第1像が、前記
    スキャンパスに沿った基板表面の領域を初期温度から制御された加熱速度および/または制御された加熱持続時間で加熱し、かつ
    スキャンパスに沿った基板表面の領域を最終温度まで制御された冷却速度および/または制御された冷却持続時間で冷却するのに有効な強度プロファイルおよびサイズを有し、
    前記第2像が、前記スキャンパスに沿った基板表面の領域を前記初期温度より高い中間温度から前記ピークスパイク処理温度、さらに前記最終温度より高い中間温度にするのに有効な強度プロファイルおよびサイズを有することを特徴とする基板表面の熱処理用装置。
  2. 前記基板を初期温度にするためのチャックをさらに備える請求項1に記載の装置。
  3. 前記基板を最終温度にするためのチャックをさらに備える請求項1に記載の装置。
  4. 前記第1および第2放射線源が第1および第2像の重複を形成するようにした請求項1に記載の装置。
  5. 前記第1および第2放射線源が重複しない第1および第2像を形成するようにした請求項1に記載の装置。
  6. 前記中間温度が、各々約400℃〜1000℃である請求項1に記載の装置。
  7. 前記中間温度がほぼ等しい請求項1に記載の装置。
  8. 制御されたプレスパイク加熱速度、制御された加熱持続時間または第1中間温度を選択して前記基板における応力蓄積を低減する、および/または、基板の電子性能を改善する請求項1に記載の装置。
  9. 前記制御されたプレスパイク加熱速度が、前記第2像に先行するスキャンパスに沿った基板表面の領域を初期温度から第1中間温度に2秒未満で加熱し得るようにする請求項8に記載の装置。
  10. 前記制御されたプレスパイク加熱速度が、前記第2像に先行するスキャンパスに沿った基板表面の領域を所望の温度プロファイルに沿って初期温度から第1中間温度に加熱し得るようにする請求項8に記載の装置。
  11. 前記制御されたポストスパイク冷却速度を選択して前記基板における応力蓄積を低減する、および/または、基板の電子性能を改善する請求項1に記載の装置。
  12. 前記制御されたポストスパイク冷却速度が、前記第2像に続づくスキャンパスに沿った基板表面の領域を第2中間温度から最終温度に約2秒未満で冷却しえるようにする請求項11に記載の装置。
  13. 前記制御されたポストスパイク冷却速度が、前記第2像に続づくスキャンパスに沿った基板表面の領域を所望の温度プロファイルに沿って第2中間温度から最終温度に冷却シエルにする請求項9に記載の装置。
  14. 前記ピーク温度が約1412℃未満である請求項1に記載の装置。
  15. 前記スパイク処理期間が約10ミリ秒以下である請求項1に記載の装置。
  16. 前記基板がシリコンからなる請求項1に記載の装置。
  17. 前記第1および第2放射線源のうち少なくとも一つがレーサーおよび/またはレーザーダイオードを含む請求項1に記載の装置。
  18. 前記レーサーおよび/またはレーザーダイオードが連続ビームを生成するように適応した請求項17に記載の装置。
  19. 前記第2像が縦軸を有する細長い像である請求項1に記載の装置。
  20. 前記スキャンパスが、前記長細い像の縦軸に対して垂直である請求項19に記載の装置。
  21. 基板の表面を熱処理するに当たり、
    (a)前記基板表面を第1および第2像で照射し、
    (b)前記基板表面と像との間に相対的スキャン動作を付与してスキャンパスに沿った基板表面の領域を実質的に均一なピークスパイク処理温度で処理することを備え、
    前記第1像が、前記スキャンパスに沿った基板表面の領域を初期温度から制御された加熱速度および/または制御された加熱持続時間で加熱し、かつスキャンパスに沿った基板表面の領域を最終温度まで制御された冷却速度および/または制御された冷却持続時間で冷却するのに有効な強度プロファイルおよびサイズを有し、
    前記第2像が、前記スキャンパスに沿った基板表面の領域を前記初期温度より高い中間温度から前記ピークスパイク処理温度、さらに前記最終温度より高い中間温度にするのに有効な強度プロファイルおよびサイズを有することを特徴とする基板表面の熱処理方法。
  22. チャックが、前記基板を前記初期温度にする請求項21に記載の方法。
  23. 前記第1および第2像が重複する請求項21に記載の方法。
  24. 前記第1および第2像が重複しない請求項21に記載の方法。
  25. 請求項21に記載の方法を用いて調製したマイクロ電子デバイスを備える半導体ウェーハ。
  26. 前記デバイスが、約65nm未満のリソグラフィノードである請求項25に記載のウェーハ。
  27. 基板を支持し、該基板表面を放射線受信位置内に置くように適応した載物台と、
    第1および第2像を前記上側基板表面上にそれぞれ形成するように適応した第1および第2放射線源と、
    前記載物台および放射線源に動作可能に連結し、前記基板表面と像との間に相対的スキャン動作を付与して、前記像が可逆スキャンパスに沿った基板表面の領域を実質的に均一なピーク処理温度で処理し得るように適応した制御器とを備え、
    前記第1像が、前記第2像に先行もしくはその中又はそれに続づくスキャンパスに沿った基板表面の領域を初期温度から第1中間温度に制御された加熱速度で加熱し、および/または
    前記第2像に先行もしくはその中又はそれに続づくスキャンパスに沿った基板表面の領域を第2中間温度から最終温度まで制御された冷却速度で冷却するのに有効な強度プロファイルおよびサイズを有し、
    前記第2像が、前記スキャンパスに沿った基板表面の領域を前記ピーク処理温度にするのに有効な強度プロファイルおよびサイズを有することを特徴とする基板表面の熱処理用装置。
  28. 前記第1像が、前記第2像に先行するスキャンパスに沿った基板表面の領域を初期温度から前記第1中間温度に制御された加熱速度で加熱するのに有効な強度プロファイルおよびサイズを有し、
    前記第2像が、前記スキャンパスに沿った基板表面の領域を第1中間温度から前記ピーク処理温度にスパイク処理期間内でするのに有効な強度プロファイルおよびサイズを有する請求項27に記載の装置。
  29. 前記第1像が、前記第2像に続づくスキャンパスに沿った基板表面の領域を第2中間温度から最終温度に制御された冷却速度で冷却するのに有効な強度プロファイルおよびサイズを有し、
    前記第2像が、前記スキャンパスに沿った基板表面の領域を前記ピーク処理温度、さらに第2中間温度にスパイク処理期間内でするのに有効な強度プロファイルおよびサイズを有する請求項27に記載の装置。
  30. 前記第1像が、制御されたプレスパイク加熱速度に対する制御をもたらさない請求項27に記載の装置。
  31. 基板の表面を熱処理するに当たり、
    (a)前記基板表面を第1および第2像で照射し、
    (b)前記基板表面と像との間に可逆な相対的スキャン動作を付与してスキャンパスに沿った基板表面の領域を実質的に均一なピーク処理温度で処理することを備え、
    前記第1像が、前記第2像に先行もしくはその中又はそれに続づくスキャンパスに沿った基板表面の領域を初期温度から第1中間温度に制御された加熱速度で加熱し、および/または
    前記第2像に先行もしくはその中又はそれに続づくスキャンパスに沿った基板表面の領域を第2中間温度から最終温度まで制御された冷却速度で冷却するのに有効な強度プロファイルおよびサイズを有し、
    前記第2像が、前記スキャンパスに沿った基板表面の領域を前記ピーク処理温度にするのに有効な強度プロファイルおよびサイズを有することを特徴とする基板表面の熱処理方法。
  32. 請求項31に記載の方法を用いて調製したマイクロ電子デバイスを備える半導体ウェーハ。
  33. 前記デバイスが、約65nm以下のリソグラフィノードである請求項31に記載のウェーハ。
JP2009231615A 2008-10-06 2009-10-05 プレスパイクおよびポストスパイク温度制御をともなう基板の熱処理 Active JP5586200B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/287,085 US20100084744A1 (en) 2008-10-06 2008-10-06 Thermal processing of substrates with pre- and post-spike temperature control
US12/287,085 2008-10-06

Publications (3)

Publication Number Publication Date
JP2010109363A true JP2010109363A (ja) 2010-05-13
JP2010109363A5 JP2010109363A5 (ja) 2011-02-24
JP5586200B2 JP5586200B2 (ja) 2014-09-10

Family

ID=42075136

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009231615A Active JP5586200B2 (ja) 2008-10-06 2009-10-05 プレスパイクおよびポストスパイク温度制御をともなう基板の熱処理

Country Status (4)

Country Link
US (3) US20100084744A1 (ja)
JP (1) JP5586200B2 (ja)
KR (1) KR101271287B1 (ja)
TW (1) TWI469239B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012256879A (ja) * 2011-06-07 2012-12-27 Ultratech Inc 集積回路の製造における、パターン密度効果を低減させた超高速レーザーアニーリング
JP2013048226A (ja) * 2011-08-10 2013-03-07 Ultratech Inc 時間平均化ライン像を形成するシステム及び方法
JP2015130483A (ja) * 2013-12-24 2015-07-16 ウルトラテック インク ファイバレーザーを使用したレーザースパイクアニーリング
JP2016105470A (ja) * 2014-11-24 2016-06-09 ウルトラテック インク 欠陥アニーリング及びドーパント活性化のための高性能線形成光学システム及び方法
CN105719958A (zh) * 2014-12-17 2016-06-29 超科技公司 具有超短停留时间的激光退火系统及方法
JP2018504774A (ja) * 2014-12-18 2018-02-15 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド ウエハー処理のためのダイナミック加熱方法及びシステム
KR20180133022A (ko) * 2017-06-02 2018-12-13 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7514305B1 (en) * 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates
US8304354B2 (en) * 2010-04-22 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods to avoid laser anneal boundary effect within BSI CMOS image sensor array
US9302348B2 (en) * 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8575043B2 (en) * 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8884341B2 (en) 2011-08-16 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US9085045B2 (en) * 2011-11-04 2015-07-21 Tokyo Electron Limited Method and system for controlling a spike anneal process
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
SG10201503482QA (en) 2012-06-11 2015-06-29 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9190294B2 (en) * 2012-08-23 2015-11-17 Michael Xiaoxuan Yang Methods and apparatus for separating a substrate
US20140158578A1 (en) 2012-12-06 2014-06-12 Jason Varan Folding apparatus for the containment and transport of bottles and method of use
US8691598B1 (en) * 2012-12-06 2014-04-08 Ultratech, Inc. Dual-loop control for laser annealing of semiconductor wafers
US8956885B2 (en) 2013-05-28 2015-02-17 Globalfoundries Inc. Method and process to reduce stress based overlay error
US9059037B2 (en) 2013-05-31 2015-06-16 Globalfoundries Inc. Methods for overlay improvement through feed forward correction
TW201528379A (zh) * 2013-12-20 2015-07-16 Applied Materials Inc 雙波長退火方法與設備
US9559023B2 (en) 2014-06-23 2017-01-31 Ultratech, Inc. Systems and methods for reducing beam instability in laser annealing
JP6378974B2 (ja) * 2014-08-20 2018-08-22 城戸 淳二 レーザアニール装置及びレーザアニール方法
WO2016148855A1 (en) * 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures
CN106158609B (zh) * 2015-03-31 2019-07-23 上海微电子装备(集团)股份有限公司 一种激光退火装置及其退火方法
US10409005B2 (en) * 2018-01-08 2019-09-10 Elenion Technologies, Llc Reducing back reflection in a photodiode
KR102174928B1 (ko) * 2019-02-01 2020-11-05 레이저쎌 주식회사 멀티 빔 레이저 디본딩 장치 및 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005136365A (ja) * 2003-12-22 2005-05-26 Sumitomo Heavy Ind Ltd レーザ照射装置及びレーザ照射方法
JP2005210129A (ja) * 2004-01-22 2005-08-04 Ultratech Inc 低濃度ドープされたシリコン基板のレーザ熱アニール
US20070072400A1 (en) * 2005-09-26 2007-03-29 Bakeman Paul E Jr Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
JP2007507897A (ja) * 2003-09-29 2007-03-29 ウルトラテック インク 低濃度ドープシリコン基板のレーザー熱アニール
JP2007208044A (ja) * 2006-02-02 2007-08-16 Sharp Corp 半導体薄膜の製造方法および半導体薄膜の製造装置
JP2008047923A (ja) * 2006-08-17 2008-02-28 Toshiba Corp 複数の光源を用いるレーザースパイクアニール

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7158553B2 (en) * 2003-02-14 2007-01-02 Lambda Physik Ag Master oscillator/power amplifier excimer laser system with pulse energy and pointing control
US20050074985A1 (en) * 2003-10-01 2005-04-07 Yoo Woo Sik Method of making a vertical electronic device
US7433051B2 (en) * 2006-03-09 2008-10-07 Ultratech, Inc. Determination of lithography misalignment based on curvature and stress mapping data of substrates
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007507897A (ja) * 2003-09-29 2007-03-29 ウルトラテック インク 低濃度ドープシリコン基板のレーザー熱アニール
JP2005136365A (ja) * 2003-12-22 2005-05-26 Sumitomo Heavy Ind Ltd レーザ照射装置及びレーザ照射方法
JP2005210129A (ja) * 2004-01-22 2005-08-04 Ultratech Inc 低濃度ドープされたシリコン基板のレーザ熱アニール
US20070072400A1 (en) * 2005-09-26 2007-03-29 Bakeman Paul E Jr Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
JP2007208044A (ja) * 2006-02-02 2007-08-16 Sharp Corp 半導体薄膜の製造方法および半導体薄膜の製造装置
JP2008047923A (ja) * 2006-08-17 2008-02-28 Toshiba Corp 複数の光源を用いるレーザースパイクアニール

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012256879A (ja) * 2011-06-07 2012-12-27 Ultratech Inc 集積回路の製造における、パターン密度効果を低減させた超高速レーザーアニーリング
JP2013048226A (ja) * 2011-08-10 2013-03-07 Ultratech Inc 時間平均化ライン像を形成するシステム及び方法
JP2015130483A (ja) * 2013-12-24 2015-07-16 ウルトラテック インク ファイバレーザーを使用したレーザースパイクアニーリング
JP2016105470A (ja) * 2014-11-24 2016-06-09 ウルトラテック インク 欠陥アニーリング及びドーパント活性化のための高性能線形成光学システム及び方法
CN105719958A (zh) * 2014-12-17 2016-06-29 超科技公司 具有超短停留时间的激光退火系统及方法
JP2016119470A (ja) * 2014-12-17 2016-06-30 ウルトラテック インク 超短期滞留時間でのレーザアニーリングシステム及び方法
JP2018504774A (ja) * 2014-12-18 2018-02-15 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド ウエハー処理のためのダイナミック加熱方法及びシステム
KR20180133022A (ko) * 2017-06-02 2018-12-13 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102075678B1 (ko) 2017-06-02 2020-02-12 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
US20110298093A1 (en) 2011-12-08
KR20100039243A (ko) 2010-04-15
JP5586200B2 (ja) 2014-09-10
TWI469239B (zh) 2015-01-11
TW201017797A (en) 2010-05-01
US20100084744A1 (en) 2010-04-08
KR101271287B1 (ko) 2013-06-04
US20120111838A1 (en) 2012-05-10

Similar Documents

Publication Publication Date Title
JP5586200B2 (ja) プレスパイクおよびポストスパイク温度制御をともなう基板の熱処理
US11945045B2 (en) Annealing apparatus using two wavelengths of radiation
JP5517396B2 (ja) 低濃度ドープシリコン基板のレーザー熱アニール
JP5611212B2 (ja) 基板のアニールにおける熱量の管理
KR20100077000A (ko) 표면 반사도 변화의 최소화

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110107

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130319

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130619

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130624

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130712

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130718

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130815

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130919

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140404

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140409

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140502

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140509

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140708

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140722

R150 Certificate of patent or registration of utility model

Ref document number: 5586200

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250