KR20100039243A - 사전 및 사후 스파이크 온도 제어에 의한 기판의 열처리 - Google Patents

사전 및 사후 스파이크 온도 제어에 의한 기판의 열처리 Download PDF

Info

Publication number
KR20100039243A
KR20100039243A KR1020090094379A KR20090094379A KR20100039243A KR 20100039243 A KR20100039243 A KR 20100039243A KR 1020090094379 A KR1020090094379 A KR 1020090094379A KR 20090094379 A KR20090094379 A KR 20090094379A KR 20100039243 A KR20100039243 A KR 20100039243A
Authority
KR
South Korea
Prior art keywords
substrate
image
temperature
scan path
spike
Prior art date
Application number
KR1020090094379A
Other languages
English (en)
Other versions
KR101271287B1 (ko
Inventor
아서 더블유 자피로폴로
앤드류 엠 호리룩
제임스 티 맥휘터
세르게이 지 애니킷체브
Original Assignee
울트라테크 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 울트라테크 인크. filed Critical 울트라테크 인크.
Publication of KR20100039243A publication Critical patent/KR20100039243A/ko
Application granted granted Critical
Publication of KR101271287B1 publication Critical patent/KR101271287B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

예를 들어, 기판의 제어된 레이저 열 어닐링 (LTA) 과 같은, 기판의 표면을 열처리하는 장치 및 방법이 제공된다. 본 발명은 통상적으로, 기판의 표면을 제 1 및 제 2 이미지로 조사하여, 기판의 표면의 영역들을 스캔 경로를 따라 실질적으로 균일한 피크 처리 온도로 처리한다. 제 1 이미지는 기판의 스파이크 어닐링을 실시하도록 기능할 수도 있고, 제 2 이미지는 스파이크 어닐링 이전 및/또는 이후에 기판에 보조 가열 처리를 제공하는데 이용될 수도 있다. 또한, 사전 스파이크 및/또는 사후 스파이크의 온도 프로파일에 대한 제어는 웨이퍼에 생성되는 응력 및 스트레인을 감소시킬 수도 있다. 또한, 본 발명의 장치 및 방법을 이용하여 형성된 마이크로일렉트로닉 디바이스가 제공된다.
Figure P1020090094379
열처리 장치, 어닐링, 스캐닝

Description

사전 및 사후 스파이크 온도 제어에 의한 기판의 열처리{THERMAL PROCESSING OF SUBSTRATES WITH PRE- AND POST-SPIKE TEMPERATURE CONTROL}
본 발명은, 선택적으로 오버랩되는 제 1 이미지 및 제 2 이미지를 발생시키는 복수의 방사 소스를 이용하는 기판의 열처리에 관련된다. 더 상세하게는, 본 발명은, 기판 표면의 영역들 각각이 선택적으로 제어된 사전 스파이크 온도에서 처리되고, 그 후, 제어된 균일한 피크 온도에 의해 처리되고, 그 후, 선택적으로 제어된 사후 스파이크 온도에 의해 처리되는 프로세싱에 관련된다.
반도체 기반 마이크로일렉트로닉 디바이스의 제조는, 반도체 기판을 "열처리"하여 그 기판의 접합 영역 (예를 들어, 소스 및 드레인 영역) 에 주입된 도펀트 원자를 활성화시키는 것을 종종 수반한다. 예를 들어, 트랜지스터의 소스/드레인 부분은 실리콘 웨이퍼의 영역을 정전기적으로 가속된 도펀트에 노출시킴으로써 형성될 수도 있다. 주입 이후, 도펀트는 전기적으로 비활성된다. 이들 도펀트의 활성화는 기판을 어닐링함으로써, 즉, 결정 격자가 도펀트를 그 구조 내로 통합하기에 충분한 시간 주기 동안 기판을 특정한 처리 온도까지 가열함으로써 달성될 수도 있다. 요구되는 시간 주기는 처리 온도에 의존한다. 연장된 시 간 주기 동안 온도가 상승된 도펀트는 격자 전체에 걸쳐 분산되는 경향이 있다. 그 결과, 도펀트의 분포 프로파일은 이상적인 박스 형상에서 완만한 익스포넨셜로 감소하는 프로파일로 변경될 수도 있다.
더 높은 어닐링 온도 및 더 짧은 어닐링 시간을 이용함으로써, 도펀트 확산을 감소시키고 주입 이후 달성되는 도펀트 분포 프로파일을 유지하는 것이 가능하다. 예를 들어, 열처리 (TP) 는, 집적 회로 (IC) 와 같은 반도체 디바이스를 제조하기 위한 프로세스의 일부로서 실리콘 웨이퍼에 형성된 소스/드레인 영역을 어닐링하기 위한 특정한 기술을 포함한다. 고속 열처리 (RTP) 의 목적은, 웨이퍼를 반도체 용융점 근처까지 고속으로 가열함으로써 매우 높은 도전율을 갖는 얇게 도핑된 영역을 생성하여, 치환 격자 사이트 (site) 에 도펀트를 통합하고, 그 웨이퍼를 고속으로 냉각시켜 그 도펀트를 그 자리에 동결시키는 것이다.
통상적인 RTP 시스템에 의해 이용되는 시간 스케일보다 훨씬 더 짧은 시간 스케일을 갖는 TP 를 수행하기 위해 레이저 기반 기술이 이용되고 있다. 레이저 기반 TP 기술을 설명하는데 이용되는 예시적인 용어는, 레이저 열처리 (LTP), 레이저 열 어닐링 (LTA), 및 레이저 스파이크 어닐링 (LSA) 을 포함한다. 몇몇 예에서, 이들 용어는 상호교환적으로 사용될 수 있다. 임의의 경우에, 이 기술은 통상적으로, 레이저 빔을 길고 얇은 이미지로 형성하여, 예를 들어, 반도체 웨이퍼의 상부면과 같은 가열될 표면에 걸쳐 차례로 스캐닝되는 것을 수반한다. 예를 들어, 0.1 mm 폭의 빔이 반도체 웨이퍼 표면 상에 100 mm/s 로 래스터 스캐닝되어, 가열 사이클 동안 약 1 밀리초 미만의 드웰 시간을 생성할 수도 있다. 이 가열 사이클 동안의 통상적인 최대 온도는 1350 ℃ 일 수도 있다. 웨이퍼 표면을 최대 온도에 도달시키는데 요구되는 드웰 시간 내에서, 표면 영역 아래에서 단지 약 100 내지 약 200 마이크로미터의 층이 가열된다. 후속적으로, 밀리미터 두께의 웨이퍼의 벌크는, 레이저 빔이 지나간 후 표면이 가열되었던 것만큼 빠르게 그 표면을 냉각시키도록 기능한다.
LTP 는 펄스형이거나 연속적인 방사를 이용할 수도 있다. 예를 들어, LTP 는, 웨이퍼 표면 상에 래스터 스캐닝되는, 예를 들어, λ=10.6 ㎛ 인 적외선 파장의 연속적이고 높은 전력의 CO2 레이저 빔을 이용하여, 표면의 모든 영역이 스파이크 가열 빔의 적어도 하나의 패스 (pass) 에 노출될 수도 있다. 웨이퍼 피쳐의 통상적인 치수에 비해 상대적으로 큰 이 파장은, 패터닝된 실리콘 웨이퍼를 가로질러 빔이 스캐닝할 때 종종 균일하게 흡수되어, 웨이퍼의 각각의 지점이 거의 동일한 최대 온도에 이르게 할 수 있다.
그럼에도 불구하고, 약하게 도핑된 실리콘 및 도핑되지 않은 실리콘은, 빔의 광자 에너지가 도핑되지 않은 실리콘의 밴드갭 에너지보다 작기 때문에, 약 400 ℃ 보다 훨씬 낮은 온도에서는 10.6 ㎛ 방사의 CO2 레이저 스파이크 어닐링 빔으로부터의 방사를 현저하게 흡수하지 않을 수도 있다. 따라서, Bakeman 의 미국 특허출원 공개공보 제 20070072400 호는, 표면 및 반도체 밴드갭 에너지를 갖는 반도체 기판의 열처리 방법을 기술한다. 이 방법은, 반도체 밴드갭 에너지보다 큰 에너지를 가진 광자를 갖는 활성화된 방사 빔을 기판에 조사하여, 어닐링 방사 빔의 흡수량을 증가시키기 위해 기판을 국부적으로 가열하는 것을 수반한다. 그 후, 기판은, 실질적으로 기판을 가열하기 위해 자유 캐리어에 의해 흡수된 광자를 갖는 어닐링 방사로 조사된다.
다른 특허들은 2 이상의 레이저 빔이 이용될 수도 있는 기술을 개시한다. 예를 들어, Talwar 등의 미국 특허 제 7,148,159 호는, 실온에서 기판에 실질적으로 흡수되지 않는 어닐링 방사 빔을 이용하여 기판의 레이저 열 어닐링 (LTA) 을 수행하는 기술을 개시한다. 이 기술은, 제 1 빔을 이용하여 기판을 임계 온도까지 사전 가열하고, 그 후 어닐링 방사로 그 기판을 조사하여 그 기판을 어닐링할 수 있는 피크 온도를 발생시키는 것을 수반할 수도 있다. 통상적으로, 피크 온도는 짧은 시간에 도달되어 열 스파이크를 생성할 수 있다. 그 후, 기판 전체가 냉각될 수도 있다.
그럼에도 불구하고, 제어되지 않은 가열 및/또는 냉각은 제어되지 않은 응력을 기판에 도입할 수도 있다. 기판이, 예를 들어, IC 와 같은 마이크로일렉트로닉 디바이스를 포함하는 경우, 이러한 응력은 준최적의 전자적 성능을 유발할 수도 있다. 극단적인 경우, 제어되지 않은 응력은 치명적인 기계적 고장을 발생시켜 기판 파괴를 유발할 수도 있다. 또한, 단일한 드웰 시간을 갖는 단순한 레이저 어닐링은 디바이스에 대한 최적의 전자적 성능을 제공하지 않을 수도 있다. 짧은 드웰 시간을 갖는 레이저 어닐링은, 분산이 거의 없거나 또는 분산 없이 높은 활성도를 생성한다. 레이저 어닐링으로부터의 높은 활성도와 함께 소량의 분산으로부터 이점이 있는 몇몇 디바이스 설계가 존재한다. 다른 디바이스 제 조 구현에서는, 짧은 시간 주기 동안의 제 2 (더 낮은 온도의) 어닐링이 구조물의 주입 영역에서 결점을 제거하는데 이점이 있을 수도 있다. 응력 관리 및 디바이스 성능 최적화 모두가 추가적 열 빔으로 실시될 수 있다.
따라서, 당업계에서는, 레이저 어닐링 및 유사한 기술을 수반하는 열처리에 있어서 사전 및/또는 사후 열 스파이크 온도에 대한 제어를 실시하는 것이 요구된다.
일 실시형태에서, 본 발명은 기판의 표면을 열처리하는 장치를 제공한다. 이 장치는, 스테이지, 복수의 방사 소스, 및 그 스테이지 및 방사 소스와 커플링되어 동작하는 제어기를 포함한다. 스테이지는 기판을 지지하고, 기판 표면을 방사 수신 위치에 배치한다. 방사 소스는, 상부 기판 표면에서 선택적으로 오버랩되는 이미지를 형성한다. 제어기는 기판 표면과 이미지 사이에 상대적 스캐닝 움직임을 제공하여, 그 이미지가 실질적으로 균일한 피크 처리 온도에서 스캔 경로를 따라 기판 표면의 영역들을 처리할 수 있게 한다.
통상적으로, 제 1 및 제 2 방사 소스에 의해 제 1 및 제 2 이미지가 각각 형성된다. 또한, 이미지들은 제어된 강도 프로파일 및 사이즈를 가질 수도 있다. 상대적 스캐닝 움직임은 제어될 수도 있고 선택적으로 반전될 수도 있다. 그 결과, 제 1 및 제 2 이미지는 조합되어, 기판 표면의 영역들이, 예를 들어, 점진적 방식으로 초기 온도로부터 제 1 의 중간 온도에 도달되게 하고, 그 후, 예를 들어, 스파이킹 방식으로 스파이크 처리 주기 동안 피크 처리 온도에 도달되게 한 후 제 2 중간 온도에 도달되게 하고, 예를 들어, 점진적 방식으로 최종 온도까지 냉각될 수도 있게 하며, 이 모든 처리는 제어된 레이트로 행해진다. 몇몇 예에서, 중간 온도들은 약 400 ℃ 내지 약 1000 ℃ 의 범위에서 독립적으로 선택될 수도 있다. 중간 온도들은 대략 동일할 수도 있다.
가열 및/또는 냉각 레이트는 다양한 목적으로, 예를 들어, 기판 내의 응력 축적을 감소시키고/시키거나 기판의 전자적 성능을 개선하도록 선택될 수도 있다. 몇몇 예에서, 사전 스파이크 가열 레이트는 기판 표면 영역이 약 2 초 미만동안 초기 온도로부터 제 1 중간 온도까지 가열되게 하여, 원하는 방식으로 온도가 증가되어 원하는 온도 프로파일을 형성하게 할 수도 있다. 온도 프로파일은 선형일 수도 있고 비선형일 수도 있다. 유사하게, 사후 스파이크 냉각 레이트가 유사한 방식으로 선택될 수도 있다.
피크 온도는 변화할 수도 있다. 예를 들어, 피크 온도는 실리콘 웨이퍼를 포함하는 기판에 대해서는 약 1412 ℃ 미만일 수도 있다. 또한, 스파이크 처리 주기는 약 10 밀리초 이하일 수도 있다.
서로 다른 방사 소스가 이용될 수도 있다. 적절한 방사 소스는, 다양한 파장의, 예를 들어, 레이저, 레이저 다이오드, 가열 램프를 포함한다. 애플리케이션에 따라, 방사 소스는 연속적 빔 및/또는 펄스형 빔을 생성할 수도 있다. 빔은, 연장된 이미지를 생성하기 위해 이용될 수도 있고, 이 연장된 이미지는, 그 연장된 이미지의 길이방향 축에 평행하지 않거나 적어도 부분적으로 수직인 스캔 경로를 따라 이동하기에 적합한 길이방향 축을 갖는다.
또 다른 실시형태에서, 기판의 표면을 열처리하는 방법이 제공된다. 이 방법은, 선택적으로 오버랩되는 제 1 및 제 2 이미지로 기판 표면을 조사하는 단계, 및 기판 표면과 이미지들 사이에 상대적 스캐닝 움직임을 제공하여, 실질적으로 균일한 피크 처리 온도에서 스캔 경로를 따라 기판 표면의 영역들을 프로세싱하는 단계를 수반한다. 제 1 및 제 2 이미지는, 예를 들어, 기판 표면의 영역들이 스캔 경로를 따라: (a) 제어된 사전 스파이크 가열 레이트로 초기 온도로부터 제 1 중간 온도까지 가열되게 하고; (b) 스파이크 처리 주기 내에서, 제 1 중간 온도로부터 피크 처리 온도까지, 그 후, 제 2 중간 온도까지 도달되게 하고; (c) 제어된 사후 스파이크 냉각 레이트에서 제 2 중간 온도부터 최종 온도까지 냉각되게 한다.
또 다른 실시형태에서는, 전술한 방법 및/또는 장치를 이용하여 생성된 마이크로일렉트로닉 디바이스를 포함하는 반도체 웨이퍼가 제공된다. 이 웨이퍼는 약 65 nm 이하인 리소그래픽 노드인 디바이스를 포함할 수도 있다.
본 발명에 따르면, 이미지 강도 프로파일, 이미지 지오메트리, 스캔 속도 등에 따라 국부적 온도 및 국부적 온도-시간 기울기 모두를 제어함으로써 국부화된 열처리를 실시하는데 바람직하게 이용될 수도 있다. 본 발명에 따르면, 기판 내의 응력 누적을 감소시키고/시키거나 기판의 전자적 성능을 개선할 수도 있다.
도면들은, 당 분야의 당업자에 의해 이해될 수 있고 적절하게 수행될 수 있는 본 발명의 다양한 양태들을 예시하도록 의도된다. 도면들의 특정한 특성들 이 강조 및/또는 표현의 명확화를 위해 과장될 수도 있기 때문에, 도면의 축척은 정확하지 않을 수도 있다.
본 발명을 상세히 설명하기 전에, 달리 언급하지 않는다면, 기판 구조, 기판 재료, 방사 소스는 변할 수도 있기 때문에, 본 발명은 특정한 기판 구조, 기판 재료, 방사 소스에 한정되지 않는다. 또한, 여기서 사용하는 용어는 특정한 실시형태를 설명하기 위한 목적일 뿐이며, 한정하려는 의도가 아님을 이해해야 한다.
본 명세서 및 첨부된 청구항에서 사용될 때, 단수 형태 ("a", "an", 및 "the") 는, 그 문맥이 명확하게 달리 지정하지 않는다면 단수 및 복수 모두를 포함함을 유의해야 한다. 따라서, 용어 "빔" 은 단일한 빔뿐만 아니라 복수의 빔을 포함하고, 용어 "파장" 은 단일한 파장뿐만 아니라 일 범위의 또는 복수의 파장을 포함하고, 용어 "영역" 은 단일한 영역뿐만 아니라 영역의 조합을 포함하며, 다른 용어들도 마찬가지이다.
본 발명을 설명하고 청구할 때, 다음의 용어들이 이하 기술된 바와 같은 정의에 따라 사용될 것이다.
용어 "브루스터 각 (Brewster angle)" 은 P-편향된 광의 표면으로부터의 반사율이 최소 또는 거의 최소가 되는 각을 지칭하도록 사용된다. 엄밀히 말하면, 실리콘 웨이퍼와 같은 객체의 표면 상의 막은, 그 객체가, 반사율이 최소가 되는 진정한 브루스터 각을 갖는 것을 방해할 수도 있다. 따라서, 기판 상에 적층된 다양한 상이한 막으로부터 형성된 특정 표면에 대해 사용되는 브루스터 각은 유효한 브루스터 각 또는 P-편향 방사의 반사율이 최소인 각인 것으로 고려될 수 있다. 이 최소 반사율의 각은 통상적으로 기판에 대한 진정한 브루스터 각의 각도와 일치하거나 이에 근접한다.
용어 "레이저" 는 여기서 통상적인 관점으로 사용되며, 자극 방출로 불리는 처리를 통해 전자기 방사 (광) 를 방출하는 디바이스를 지칭한다. 이러한 방사는 통상적으로 공간적으로 코히어런트할 필요는 없다. 통상적인 레이저는 필수적이지는 않지만 좁은 파장 스펙트럼 ("모노크로마틱" 광) 으로 전자기 방사를 방출한다. 용어 레이저는 그 용도가 명확하게 달리 지정되지 않으면 광범위하게 해석되어야 하고, 그 해석은, 예를 들어, CO2 레이저와 같은 가스 레이저 및 레이저 다이오드를 포함할 수도 있다.
용어 "리소그래픽 노드" 는 반복적인 어레이에서 반도체 기반 집적 회로의 대량 생산과 연관된 라인 간극 (spacing) 및 다른 기하학적 고려사항에 관련된 일련의 산업 표준을 지칭한다. 일반적으로, 더 작은 노드는 더 작은 라인 폭 및 더 큰 디바이스 밀도에 대응한다.
용어 "선택적" 및 "선택적으로" 는 통상적인 관점으로 사용되며, 순차적으로 설명된 상황이 발생할 수도 있고 발생하지 않을 수도 있는 것을 의미하고, 따라서, 그 설명은 그 상황이 발생하는 예 및 발생하지 않는 예를 포함한다.
용어 "반도체" 는 전기 전도도가 절연체보다 크고 도체보다 작은 임의의 다양한 고체 물질을 지칭하는 것으로 이용되며, 컴퓨터 칩 및 다른 전자 디바이스에 기본 재료로서 이용될 수도 있다. 반도체는, 예를 들어, 실리콘 또는 게르마늄 과 같은 단일 원소로 이루어지거나, 또는, 실리콘 카바이드, 인화 알루미늄, 비화 갈륨, 및 안티몬화 인듐과 같은 화합물로 이루어질 수도 있다. 별도로 지적하지 않으면, 용어 "반도체" 는, 원소 반도체와 화합물 반도체 중 임의의 하나 또는 그 조합뿐만 아니라, 예를 들어, 장력 또는 압축력을 받는 반도체와 같은 변형된 반도체를 포함한다. 본 발명에 이용하기에 적합한 예시적인 간접 밴드갭 (bandgap) 반도체는 Si, Ge 및 SiC 를 포함한다. 본 발명에 이용하기에 적합한 직접 밴드갭 반도체는, 예를 들어, GaAs, GaN 및 InP 를 포함한다.
용어 "실질적" 및 "실질적으로" 는 통상적인 관점에서 사용되며, 중요성, 값, 정도, 양, 범위 등에서 고려될 수 있는 사항을 지칭한다. 예를 들어, "실질적으로 균일한 피크 처리 온도" 라는 구문은, 피크 처리 온도가 어느 정도 이하의 범위 내에 존재하여 피크 처리 온도에서의 임의의 변동이 본 발명의 관점에서 무시될 수 있는 것을 지칭한다. 용어 "실질적으로" 의 다른 용도는 유사한 정의를 수반한다.
여기서 사용되는 용어 "기판" 은, 예를 들어, 회로가 형성되거나 제조될 수도 있는 지지 재료와 같이, 처리가 의도되는 표면을 갖는 임의의 재료를 지칭한다. 기판은, 예를 들어, 칩의 어레이를 포함하는 반도체 웨이퍼 등과 같은 임의의 다수의 형태로 구성될 수도 있고, 하나 이상의 반도체 재료뿐만 아니라 하나 이상의 비반도체 재료일 수도 있다.
관련된 사항으로서, 여기서 사용되는 용어 "웨이퍼" 는 일반적으로, 단일 트랜지스터 또는 집적 회로 컴포넌트들이 형성되는 기본 재료로서 이용되는 반도체의 얇은 슬라이스를 지칭한다. 용어 "웨이퍼" 및 "기판" 은 문맥에서 명확하게 달리 지정하지 않으면 상호 교환적으로 사용될 수도 있다.
본 발명은 일반적으로, 예를 들어, 기판의 제어된 레이저 열 어닐링 (LTA) 과 같은 기판 표면의 열처리에 관련된다. 본 발명은 통상적으로, 기판 표면을 제 1 및 제 2 이미지로 조사하여 스캔 경로를 따라 실질적으로 균일한 피크 처리 온도에서 기판 표면의 영역들을 처리하는 단계를 수반한다. 이것은 통상적으로, 기판을 지지하는 스테이지, 상부 기판 표면 상에 제 1 및 제 2 이미지를 각각 형성하는 제 1 및 제 2 방사 소스, 및 그 스테이지 및 방사 소스에 커플링되어 동작하며, 기판 표면과 이미지들 사이에서 선택적으로 가역적인, 스캔 경로에 대응하는 상대적 스캐닝 움직임을 제공하는 제어기를 이용함으로써 달성된다.
방사 소스는 통상적으로 서로 다른 타입의 이미지를 생성한다. 기판의 스파이크 어닐링을 실시하도록 기능할 수도 있는 이미지를 생성하기 위해 통상적으로 적어도 하나의 방사 소스가 이용된다. 스파이크 어닐링의 이전 및/또는 이후에 기판에 보조적인 열 처리를 제공하기 위해 또 다른 방사 소스가 이용될 수도 있다.
통상적으로, 제 1 및 제 2 이미지는 오버랩된다. 이러한 경우, 제 1 이미지는, 제어된 사전 스파이크 가열 레이트로 제 2 이미지에 선행하는 스캔 경로를 따라 기판 표면의 영역들을 초기 온도로부터 제 1 중간 온도까지 가열하고/하거나 제어된 사후 스파이크 냉각 레이트로 제 2 이미지에 후속하는 스캔 경로를 따라 기판 표면의 영역들을 제 2 중간 온도로부터 최종 온도까지 냉각시키는데 효과적인 강도 프로파일 및 사이즈를 가질 수도 있다. 제 2 이미지는, 스파이크 처리 주기 내에서 스캔 경로를 따라 기판 표면의 영역들을 제 1 중간 온도로부터 피크 처리 온도까지, 그 후, 제 2 중간 온도까지 도달하게 하는데 효과적인 강도 프로파일 및 사이즈를 가질 수도 있다. 또한, 사전 스파이크 및/또는 사후 스파이크 온도 프로파일에 대한 제어는 웨이퍼에 발생되는 응력 및 스트레인을 감소시키고/시키거나 디바이스 성능을 개선시킬 수도 있다.
어닐링 및 보조 이미지들이 반드시 오버랩될 필요는 없다. 사전 가열에 요구되는 스파이크 어닐링 빔의 충분한 흡수가 있으면, 어닐링 및 보조 빔이 이용되어 개별적인 어닐링 및 보조 이미지를 형성할 수도 있다. 이것은, 빔의 열 특성 및 대응하는 이미지의 열 효과에 대한 독립적 제어를 가능하게 한다. 즉, 제 2 (스파이크) 어닐링 빔이 웨이퍼 온도를 피크 온도에 도달하게 하는데 이용될 수도 있는 반면, 제 1 (넌-스파이크) 빔이 상이한 (통상적으로 더 긴) 시간 주기 동안 웨이퍼를 중간 온도에 도달하게 할 수 있다.
예시적인 장치
장치 실시형태에서, 본 발명은 기판 표면을 열처리하기 위한 장치를 제공한다. 이 장치는, 스테이지, 복수의 방사 소스 및 그 스테이지 및 방사 소스에 커플링되어 동작하는 제어기를 포함한다. 스테이지는 기판을 지지하고, 기판 표면을 방사 수신 위치에 배치한다. 방사 소스는 상부 기판 표면 상에 오버랩되는 이미지는 형성한다. 제어기는, 기판 표면과 오버랩 이미지들 사이에 상대적 스캐닝 움직임을 제공하여, 그 이미지가 스캔 경로를 따라 실질적으로 균일한 피크 처리 온도로 기판 표면의 영역들을 처리할 수 있게 한다. 제 1 및 제 2 이미지, 예를 들어, 스파이크 어닐링 및 보조 이미지들이 제 1 및 제 2 방사 소스에 의해 각각 형성된다. 또한, 이 이미지들은 제어된 강도 프로파일 및 사이즈를 가질 수도 있다. 또한, 상대적 스캐닝 움직임은 제어될 수도 있다. 그 결과, 제 1 및 제 2 이미지는 조합되어, 기판 표면의 영역이, 예를 들어, 점진적 방식으로 초기 온도로부터 제 1 의 중간 온도에 도달되게 하고, 그 후, 예를 들어, 스파이킹 방식으로 스파이크 처리 주기 동안 피크 처리 온도에 도달되게 한 후 제 2 중간 온도가 되게 하고, 예를 들어, 점진적 방식으로 최종 온도까지 냉각될 수도 있게 하며, 이 모든 처리는 제어된 레이트로 행해진다.
도 1 은, 기판의 하나 이상의 선택된 표면 영역을 어닐링 및/또는 열처리하는데 이용될 수도 있는, 본 발명에 따른 열처리 장치 (10) 의 예시적인 실시형태의 개략도이다. LTP 시스템 (10) 은, 상부 표면 (32) 을 갖는 반도체 기판 (30) 을 지지하는 상부 표면 (22) 을 갖는 이동가능한 기판 스테이지 (20) 를 포함한다. 예시적인 실시형태에서, 반도체 기판 (30) 은, 적외선 (IR) 스파이크 가열 빔 방사를 효율적으로 흡수하지 않는 타입이다. 그러나, 몇몇 예에서, 이 반도체 기판은 다른 파장의 방사는 용이하게 흡수할 수도 있다. 이 기판은, 일정한 배경 온도를 제공하기 위한 가열 및/또는 냉각 척 상에 선택적으로 배치될 수도 있다. 척은 약 -20 ℃ 내지 600 ℃ 의 온도를 나타낼 수도 있다.
기판 스테이지 (20) 는 스테이지 구동기 (40) 에 커플링되어 동작하고, 스테이지 구동기 (40) 는 제어기 (50) 에 커플링되어 동작한다. 기판 스테이지 (20) 는 제어기 (50) 및 스테이지 구동기 (40) 의 동작하에 X-Y 평면에서 (그리고 Z-축을 따라) 이동하도록 구성되어, 기판은, 이하 기술하는 바와 같이 제 1 및 제 2 빔에 대해 상대적으로 스캐닝될 수 있다.
LTP 시스템 (10) 은, 예시적인 실시형태에서, 제어기 (50) 에 커플링되어 동작하는 스파이크 어닐링 방사 소스 (110) 및 스파이크 어닐링 광학 시스템 (120) 이 축 A1 을 따라 이 순서대로 포함하는 스파이크 어닐링 빔 유닛 (100) 을 더 포함한다. 예시적인 실시형태에서, 스파이크 어닐링 방사 소스 (110) 는 파장 λH ~ 10.6 마이크로미터에서 방출하는 CO2 레이저이다. 그러나, 스파이크 어닐링 방사 소스는 LED 또는 레이저 다이오드 방사 또한 이용할 수도 있다. 예를 들어, LED 또는 레이저 다이오드의 어레이가 잠재적으로 광섬유와 조합되어 이용될 수도 있다. LED 및 레이저 다이오드 기술은 이하 더 상세히 설명한다. 임의의 경우, 스파이크 어닐링 방사 소스 (110) 는, 스파이크 어닐링 광학 시스템 (120) 에 의해 수신되는 방사 (130) 를 방출하고, 어닐링 광학 시스템 (120) 은 스파이크 어닐링 빔 (140) 을 형성한다. 스파이크 어닐링 빔 (140) 은, 기판 표면의 법선 N 과 θ 의 각도를 이루는 광축 A1 을 따라 이동한다.
스파이크 어닐링 빔 (140) 은 기판 표면 (32) 에 이미지 (150; 이하, "어닐링 빔 이미지" 라 함) 를 형성한다. 예시적인 실시형태에서, 이미지 (150) 는, 기판 표면 상에서 스캐닝하여 열처리를 수행하기에 적합한, 예를 들어, 라인 이미지와 같은 연장된 이미지이다. 어닐링 빔 이미지 (150) 는 외부 에지 (152; 예 를 들어, 도 3 내지 도 6 에 도시됨) 에 의해 바운드된다. 최초의 근사에 대해, 기판 표면 (32) 의 온도는 스캔 방향에서 어닐링 빔 이미지 프로파일 아래의 빔 강도의 적분에 비례한다. 이 적분은 라인 이미지의 길이에 따라 변화하여, 길이에 따른 몇몇 지점에서는, 기판을 처리하기 위해 원하는 온도, 예를 들어, 어닐링을 위한 임계 온도 미만으로 온도가 내려간다.
따라서, 유용한 열처리가 발생하는 라인 이미지의 범위를 규정하는 경계가 그 라인 이미지를 따라 존재한다. 이 경계는 인접한 스캔들이 서로 충돌하는 위치이다. 예시적인 실시형태에서, 보조 빔은, 좁은 어닐링 빔 이미지의 일 측에서 스파이크 어닐링 빔 종단 경계 상으로 연장된 표면 영역을 조명한다. 그 결과, 스파이크 어닐링 빔 강도가 5 % 이상인 경우, 기판 표면 근처에서 효율적으로 흡수된다. 이것은, 거의 모든 스파이크 어닐링 빔 에너지가 효율적으로 이용되는 것을 보장한다.
또한, 장치 (10) 은, 예시적인 실시형태에서, 제어기 (50) 에 커플링되어 동작하는 보조 방사 소스 (210) 및 보조 광학 시스템 (220) 을 축 A2 을 따라 이 순서대로 포함하는 보조 빔 유닛 (200) 을 포함한다. 예시적인 실시형태에서, 보조 방사 소스 (210) 는, 반도체 기판 (30) 의 스파이크 어닐링 이전 및/또는 이후 보조 열처리를 허용하는 방사를 방출한다. 보조 방사 소스 (210) 는, 보조 광학 시스템 (220) 에 의해 수신되는 방사 (230) 를 방출하고, 보조 광학 시스템 (220) 은 보조 빔 (240) 을 형성한다. 보조 빔 (240) 은 광축 A2 를 따라 이동하여, 기판 표면 (32) 에 이미지 (250; 이하, "보조 빔 이미지" 라 함) 를 형성한 다. 보조 빔 이미지 (250) 는, 예시적인 실시형태에서, 임계 강도값에 의해 정의될 수도 있는 외부 에지 (252; 도 3 내지 도 6) 를 갖는다. 외부 에지 (252) 는 선두 에지 (254) 및 후미 에지 (256; 도 3) 를 포함한다.
보조 방사 소스 (210) 는 다수의 상이한 형태를 취할 수도 있다. 몇몇 예에서는, 단일한 레이저 다이오드가 이용될 수도 있다. 대안적으로, 본 발명은, 예를 들어, LED 또는 레이저 다이오드와 같은 복수의 방출기를 이용할 수도 있다. 이러한 방출기는 일 패턴으로, 어레이로, 또는 다른 편리한 배열로 정렬될 수도 있다. 몇몇 예에서, 소스는 바, 스택 또는 섬유 커플링 모듈의 형태를 취할 수도 있다. 예를 들어, 소스는 800 내지 830 nm 의 스펙트럼 범위에서 방사를 방출하는 반도체 레이저 바를 포함할 수도 있다. 이러한 다이오드 바의 예는 아리조나 턱슨의 Spectra-Physics, Inc. 로부터 입수가능하다. 약 1 cm 길이의 바는 90 와트의 연속적인 전력을 방출할 수 있다. 이 파장에서, 도핑되지 않은 결정 실리콘에서의 흡수 길이는 약 10 마이크론이고, 이 길이는 대략적으로, 더 긴 파장의 스파이크 어닐링 빔 (140) 을 효과적으로 흡수하는데 요구되는 깊이이다.
또한, 광섬유 기술이 이용될 수도 있다. 예를 들어, 도 7 에 도시된 바와 같이, 보조 빔 유닛 (200) 은, 보조 광학 시스템 (220) 의 섬유 (222) 에 각각 피딩하는, 포토다이오드 또는 레이저 다이오드 형태의 복수의 보조 방사 빔 발생기 (210) 를 포함할 수도 있다. 섬유 (222) 는 밀집된 선형 어레이를 형성하도록 정렬될 수도 있다. 각각의 발생기 (210) 는 보조 광학 시스템 (220) 에 의해 수신되는 방사 (230) 를 방출하고, 보조 광학 시스템 (220) 은 보조 빔 (240) 을 형성한다. 빔이 기판 표면 (32) 에 도달하기 전에 빔을 포커싱하기 위해 렌즈 (224) 가 제공될 수도 있다. 몇몇 이상적인 예에서는, 기판 표면 (32) 이 렌즈 (224) 에 의해 형성된 촬상된 평면을 나타낸다. 섬유 어레이가 기판 상에 촬상되어, 각각의 섬유가 작은 섹션을 따라 조명을 제공하는 것을 주로 담당하고, 또한, 인접한 섬유들 사이에 몇몇 오버랩이 제공되어 양호한 균일성을 달성할 수도 있다. 이하 설명하는 바와 같이, 각각의 섹션에 대한 발생기는 독립적으로 조정되어, 임의의 또는 미리 결정된 조명 프로파일을 웨이퍼 상에 생성할 수도 있다.
도 1 로 돌아가서, 보조 빔의 축과 기판의 법선이 일치하는 것으로 도시되었지만, 방사 빔 레이저를 법선의 입사각으로 기판에 촬상하는 것은 종종 바람직하지 않다. 예를 들어, 레이저가 이용되는 경우, 임의의 반사된 광이 레이저 캐비티로 리턴할 때 불안정성을 유발할 수도 있다. 따라서, 도 1 에 도시된 장치는, 표면의 법선 N 에 대해 어떠한 각도로 (즉, 법선이 아닌 입사각으로) 배치된 광축 A2 를 갖도록 변형되어, 기판 표면 (32) 으로부터 반사된 보조 방사가 보조 방사 소스 (210) 또는 스파이크 어닐링 방사 소스 (110) 로 리턴하지 않게 될 수도 있다. 이하 더 상세히 설명하는 바와 같이, 광축 A2 를 법선이 아닌 입사각으로 제공하는 또 다른 이유는, 예를 들어, 입사각을 기판에 대한 브루스터 각과 동일하게 하고 p-편향 방사를 이용하는 것과 같은 입사각 및 편향 방향의 적절한 선택에 의해 보조 빔 (240) 의 기판으로의 효율적인 커플링이 최적으로 달성될 수도 있기 때문이다.
임의의 경우, 본 발명의 컴포넌트들 사이에서 적절한 공간적 관계를 보장하기 위해 광섬유 기술이 이용되는 것이 바람직할 수도 있다. 예를 들어, 도 8 은, 기판으로부터 특정하게 반사된 방사 (160) 의 경로에 발생기 (210) 를 배치하는 것을 회피하기 위해 도 7 의 보조 빔 유닛 (200) 이 재정렬될 수도 있는 방법을 개략적으로 도시한다. 이하 설명하는 바와 같이, 텔레센트릭 (telecentric) 중계 시스템과 같은 추가적 광학 장비가 광섬유 또는 도파관 기술로 이용될 수도 있다. 본 발명과 관련된 광섬유 기술의 다른 용도는 통상적인 경험을 통해 당업자에게 자명할 것이다.
예시적인 방법
본 발명의 방법을 상세히 설명하기 전에, 몇몇 이력적 개관을 먼저 설명한다. 현재, 예를 들어, 스파이크 어닐링 기술과 같은 다수의 레이저 열처리 기술은, 연속적 CO2 레이저 빔이 브루스터 각 (~75°의 입사각) 으로 또는 그 근처에서 기판에 충돌하는 빔으로 셰이핑될 것을 요구한다. 이러한 빔에 의해 형성된 이미지는 약 0.1 mm 의 폭 및 약 10 mm 길이일 수도 있다. 빔은 자신의 길이 방향과 수직한 방향으로 기판 상에서 스캐닝되고, 스캐닝 동안 집적된 도즈 (dose) 는 빔의 10 mm 길이에 대해 약 1 % 로 균일할 것이다.
이러한 레이저 열처리 기술을 수행하기 위해, 기판은 스파이크 어닐링 이미지의 형성 이전에, 가열된 척 또는 가열된 램프에 의해 원하는 중간 온도 (통상적으로 400 ℃ 내지 700 ℃ 사이) 로 그 전체가 균일하게 사전 가열될 수도 있다. 기판은 약 1 초 내지 수십 초 동안 중간 온도로 사전 가열될 수도 있다. 중간 온도에 도달하면, 일 주기의 시간 동안 (예를 들어, 1 초 내지 수십 초에서 수백 초까지) 중간 온도가 유지된다. 열 스파이크 어닐링은 통상적으로 빔이 기판 상에서 스캐닝하는 짧은 시간 주기 (일반적으로 몇 분의 1 밀리초 내지 수 밀리초 동안 지속됨) 내에 발생한다. CO2 레이저 빔이 중간 온도에서 기판에 충돌하기 때문에, 빔은 용이하게 흡수된다. 그 후, 기판 전체가 천천히 냉각된다. 냉각은 일반적으로 수십 초가 소요되고, 기판의 열이 주위 영역으로 방사됨에 따라 제어되지 않는다.
반대로, 본 발명은, 전술한 가열된 척 또는 램프에 추가하여 또는 그에 대체하여, 사전 가열 및 사후 스파이크 냉각을 제어하기 위한 보조 방사 소스의 이용을 수반한다. 기판 전체는 실온에서 시작할 수도 있고 또는 상승된 온도에서 시작할 수도 있다. 보조 방사 소스는 넓은 영역을 원하는 온도로 조명 및 사전 가열하는데 이용될 수도 있다. 그러나, 램프 업 레이트 및/또는 램프 지속기간, 및 사전 가열 온도는 보조 방사 소스로부터의 방사에 의해 형성된 이미지의 강도 프로파일에 의해 제어될 수도 있다. 유사하게, 보조 방사 소스로부터 형성된 이미지의 강도 프로파일이 램프 다운 레이트 및 램프 다운 지속기간을 제어하는데 이용될 수도 있다. 기판의 벌크는 실온 또는 원래의 상승된 온도로 유지되어, 램프 다운 레이트를 제어하는 것을 보조한다.
즉, 본 발명의 다수의 실시형태 중 하나는 기판의 표면을 열처리하는 방법을 제공한다. 이 방법은, 실온 또는 상승된 온도로 존재할 수도 있는 기판의 표면을 제 1 및 제 2 오버랩 이미지로 조사하는 단계, 및 기판 표면과 오버랩 이미지 사이에 상대적인 스캐닝 움직임을 제공하여, 스캔 경로를 따라 실질적으로 균일한 피크 처리 온도에서 기판 표면의 영역들을 처리하는 단계를 수반한다. 제 1 및 제 2 이미지는, 예를 들어, 기판 표면의 영역들이 스캔 경로를 따라: (a) 제어된 사전 스파이크 가열 레이트로 초기 온도로부터 제 1 중간 온도까지 가열되게 하고; (b) 스파이크 처리 주기 내에서, 제 1 중간 온도로부터 피크 처리 온도까지, 그 후, 제 2 중간 온도까지 되게 하고; (c) 제어된 사후 스파이크 냉각 레이트에서 제 2 중간 온도부터 최종 온도까지 냉각되게 한다. 선택적으로, 단계 (a) 또는 단계 (b) 는 생략될 수도 있고, 스파이크 어닐링 없이 별도로 이용될 수도 있다.
스파이크 어닐링 프로세스를 개선하기 위해, 본 발명은 보조 레이저 및 적절한 광학기기를 이용하여: (1) 스파이크 어닐링이 수행되기 이전에 (및/또는 이후에), 기판이 겪는 사전 가열 온도 프로파일을 제어하고/하거나, (2) 사후 스파이크 냉각 동안 또는 스파이크 어닐링 이후 기판이 겪는 온도 프로파일을 조정할 수도 있다. 도 2 는 본 실시형태에 따라 처리되는 기판 표면의 특정 영역에 의해 발생할 수도 있는 온도의 플롯을 도시한다. 도시된 바와 같이, 특정 영역은 실온에서 시작하지만, 이 영역은 어떠한 상승된 온도에서 시작할 수도 있다. 보조 레이저는 연장된 영역을 조명할 수도 있고, 특정한 영역에 대해 스캔하고 원하는 중간의 평탄한 온도까지 그 특정한 영역을 사전 가열하는데 이용될 수도 있다. 이 영역이 원하는 중간의 평탄한 온도에 도달하면, 어닐링 레이저 이미지가 그 영 역에 대해 스캐닝되어 스파이크 어닐링을 실시할 수도 있다. 스파이크 어닐링 동안, 어닐링 레이저 이미지에 의해 조명된 영역의 온도는 원하는 피크 처리 온도까지 급상승할 수도 있다. 어닐링 레이저 이미지가 통과하면, 특정한 영역의 온도는 중간의 평탄한 온도까지 급하락할 수도 있고, 예를 들어, 실온, 원래의 상승된 온도 또는 척 온도와 같은 원래의 온도까지 제어가능하게 시간에 따라 램프 다운할 수도 있다.
전술한 예시적인 시나리오에서, 램프 업 레이트, 램프 지속기간 및 사전 가열 온도는, 웨이퍼를 사전 가열하는데 이용된 이미지 보조 레이저의 조명 프로파일에 의해 제어될 수도 있다. 유사하게, 동일한 레이저로부터의 이미지의 조명 프로파일이 램프 다운 레이트 및 램프 다운 지속기간을 제어하는데 이용될 수도 있다.
전술한 예시적인 시나리오는 도 1 에 도시된 장치를 이용하여 수행될 수도 있다. 제어기 (50) 는 제어 신호 S1 을 스파이크 어닐링 방사 소스 (110) 에 전송하여 어닐링 방사 소스를 활성화시킬 수도 있다. 이에 대한 응답으로, 스파이크 어닐링 방사 소스 (110) 는, LTP 광학 시스템 (120) 에 의해 수신되는 방사 (130) 를 방출하고, LTP 광학 시스템 (120) 은 스파이크 어닐링 빔 (140) 을 형성한다. 그 후, 스파이크 어닐링 빔 (140) 은 축 A1 을 따라 기판 표면 (32) 으로 진행하여, 어닐링 빔 이미지 (150) 를 형성한다.
또한, 제어기 (50) 는 제어 신호 S2 를 보조 방사 소스 (210) 로 전송하여 보조 방사 소스를 활성화시킨다. 이에 대한 응답으로, 보조 방사 소스 (210) 는 보조 광학 시스템 (220) 에 의해 수신되는 방사 (230) 를 방출하고, 보조 광학 시스템 (220) 은 보조 빔 (240) 을 형성한다. 그 후, 보조 빔 (240) 은 축 A2 를 따라 기판 표면 (32) 으로 진행하여, 보조 빔 이미지 (250) 를 형성한다.
도 3 은 전술한 시나리오에 대한 어닐링 빔 이미지 (150) 및 보조 빔 이미지 (250) 의 상대적 위치에 대한 예시적인 실시형태를 도시하는 기판 표면 (32) 의 근접 평면도이다. 도시된 바와 같이, 어닐링 빔 이미지 (150) 는 보조 빔 이미지 (250) 내에 피팅될 수도 있지만, 다른 경우에는, 이미지 에지가 정밀하게 정의되지 않을 수도 있다. 도시된 바와 같이, 어닐링 빔 이미지 (150) 는 보조 빔 이미지 (250) 의 선두 에지 (254) 와 후미 에지 (256) 사이에 중심이 맞춰진다.
도 2 에 도시된 바와 같이, 보조 빔 이미지 (250) 는 어닐링 빔 이미지 (150) 와 적어도 부분적으로 오버랩할 수도 있다. 그러나, 이미지 오버랩은, 특히 가열된 척이 이용되는 경우에는, 본 발명의 요구사항이 아니다. 도 9 는 특정한 시점에 Y 축에 따른 어닐링 이미지 (150) 및 보조 이미지 (250) 의 상대적 강도의 "스냅 샷" 을 제공하는 그래프이다. 도시된 바와 같이, 점선으로 강도 프로파일이 도시된 이미지 (150) 는 실선으로 강도 프로파일이 도시된 이미지 (250) 보다 더 높은 피크 강도를 나타낸다.
또한, 제어기 (50) 는 제어 신호 S3 을 통해 스테이지 구동기 (40) 를 활성화시킨다. 그 후, 스테이지 구동기 (40) 는 구동 신호 S4 를 스테이지 (20) 로 전송하여, 그 스테이지가 도 3 의 화살표 (322) 로 표시된 바와 같이 Y 축의 음의 방향으로 이동하게 하여, 어닐링 빔 이미지 (150) 및 보조 빔 이미지 (250) 가 화 살표 (324) 로 표시된 바와 같이 Y 축의 양의 방향 (즉, 스캔 방향) 으로 기판 표면 (20) 상에서 스캐닝된다. 그 결과, 스캐닝 이미지 (150 및 250) 에 의해 처리된 기판 표면의 특정 영역은 도 2 에 도시된 온도 프로파일을 경험할 수도 있다.
도 4 에 도시된 또 다른 예시적인 실시형태에서, 보조 빔 이미지 (250) 는 어닐링 빔 이미지 (150) 의 바로 앞에 선행할 수도 있고, 바로 뒤에 후속할 수도 있다. 가열 및 보조 빔 이미지의 오버랩의 위치, 사이즈 및 양 (또는 오버랩되지 않는 것) 은 열처리의 원하는 효과에 의존할 수도 있다. 몇몇 디바이스 최적화에 있어서는, 보조 빔이 어닐링 빔에 후속하는 것이 필요할 수도 있고, 다른 디바이스에서는, 그 반대일 수도 있다. 기판의 온도를 상승시키기 위해 가열된 척이 이용되어 어닐링 빔이 용이하게 흡수되면, 2 개의 빔이 오버랩할 필요는 없다.
예를 들어, 도 4a 에 도시된 바와 같이, 본 발명은, 사후 스파이크 냉각 동안 기판에 의해 경험되는 온도 프로파일을 조정하지 않으면서 스파이크 어닐링이 수행되기 이전에 기판에 의해 경험되는 사전 가열 온도 프로파일을 제어하는데 이용될 수도 있다. 이러한 경우, 보조 빔 이미지 (250) 는 어닐링 빔 이미지 (150) 선두 부분에만 오버랩할 수도 있다. 유사하게, 도 4b 에 도시된 바와 같이, 본 발명은, 스파이크 어닐링을 위해 기판을 사전 가열하지 않으면서 스파이크 어닐링이 수행된 이후 기판에 의해 경험되는 사후 스파이크 온도 프로파일을 제어하는데 이용될 수도 있다. 이러한 경우, 보조 빔 이미지 (250) 의 선두 부분이 어닐링 빔 이미지 (150) 의 후미 부분에만 오버랩할 수도 있다.
이미지 지오메트리의 또 다른 예시적인 실시형태가 도 5 에 도시되어 있으며, 여기서, 보조 빔 이미지 (250) 는 스캐닝 방향 (324) 에서 어닐링 빔 이미지 (150) 쪽으로 연장되도록 형성된다. 이것은, 사전 가열을 위해, 사후 스파이크 시간보다 더 긴 사전 가열 주기를 허용한다.
이미지 지오메트리의 또 다른 예시적인 실시형태가 도 6 에 도시되어 있으며, 여기서, 보조 빔 이미지 (250) 는 X 및 Y 방향을 따라 어닐링 빔 이미지보다 더 크다.
종합하면, 본 발명은, 이미지 강도 프로파일, 이미지 지오메트리, 스캔 속도 등에 따라 국부적 온도 및 국부적 온도-시간 기울기 모두를 제어함으로써 국부화된 열처리를 실시하는데 바람직하게 이용될 수도 있다.
본 발명의 변형예
본 발명의 변형예는 당업자에게 자명할 것이다. 예를 들어, 도면들은 일반적으로, 오버랩하는 어닐링 및 보조 이미지를 도시하고 있지만, 본 발명은 이러한 이미지의 오버랩을 요구하지는 않는다. 또한, 일반적인 경험으로 통해, 최적의 제 1 및 제 2 중간 온도는 각각 약 400 ℃ 내지 약 1000 ℃ 임을 발견할 수도 있다. 중간 온도는 동일할 수도 있고, 상이할 수도 있다.
본 발명이 사전 가열을 이용하는 경우, 제어된 사전 스파이크 가열 레이트가 선택되어, 기판 내의 응력 누적을 감소시키고/시키거나 기판의 전자적 성능을 개선할 수도 있다. 예를 들어, 제어된 사전 스파이크 가열 레이트는, 제 2 이미지에 선행하는 스캔 경로를 따라 기판 표면의 영역들이 약 2 초 미만의 시간 내에 초 기 온도로부터 제 1 중간 온도까지 가열되게 할 수도 있다. 부가적으로, 또는 대안적으로, 제어된 사전 스파이크 가열 레이트는, 제 2 이미지에 선행하는 스캔 경로를 따라 기판 표면의 영역들이 원하는 온도 프로파일에 따라 초기 온도로부터 제 1 중간 온도까지 가열되게 할 수도 있다.
유사하게, 본 발명이 제어된 사후 스파이크 냉각 기술을 이용하는 경우, 제어된 사후 스파이크 냉각 레이트는 기판 내의 응력 축적을 감소시키고/시키거나 기판의 전자적 성능을 개선하도록 선택된다. 몇몇 예에서, 제어된 사후 스파이크 냉각 레이트는, 제 2 이미지에 후속하는 스캔 경로를 따라 기판 표면의 영역들이 약 2 초 미만의 시간 내에 제 2 중간 온도로부터 최종 온도까지 냉각되게 한다. 부가적으로, 또는 대안적으로, 제어된 사후 스파이크 냉각 레이트는, 제 2 이미지에 후속하는 스캔 경로를 따라 기판 표면의 영역들이 원하는 온도 프로파일에 따라 제 2 중간 온도로부터 최종 온도까지 냉각되게 할 수도 있다.
실리콘 기판에 있어서, 피크 온도는, 멜트 또는 서브멜트 (submelt) 어닐링 처리가 소망되는지 여부에 따라 약 1412 ℃ 미만일 수도 있다. 임의의 경우, 스파이크 처리 주기는 임의의 사전 가열 또는 사후 냉각과 무관하게 약 10 밀리초 이하일 수도 있다.
서로 다른 방사 소스가 이용될 수도 있다. 방사 소스는, 연속적인 빔을 생성할 수도 있는 레이저 및 레이저 다이오드로부터 독립적으로 선택될 수도 있지만, 이에 한정되지 않는다. 통상적으로, 어닐링 이미지는 길이방향 축을 갖는 연장된 이미지이고, 스캔 경로는 그 연장된 이미지의 길이방향 축에 수직하다. 임의의 경우, 제 1 및 제 2 이미지의 상대적 위치뿐만 아니라 이미지들이 스캔 경로를 따라 진행하는 순서는, 예를 들어, 스테이지에 대한 이동 방향을 변경함으로써 교환가능할 수도 있다.
본 발명을 이용하여 생성되는 기판에 의해 경험되는 온도에 대한 전례없는 제어에 기인하여, 본 발명을 이용하여 처리되는 임의의 반도체 웨이퍼는 종래의 프로세스를 이용한 웨이퍼들에 비해 마이크로구조 및/또는 전자적 성능의 이점을 나타낼 것이다. 이러한 이점은, 예를 들어, Owen 에 의한 미국 특허 출원 공보 제 20070212856 호에 설명된 바와 같이, 응력 맵핑 및 계측 기술과 같은 공지된 기술을 통해 측정될 수도 있다. 따라서, 본 발명은 또한, 예를 들어, 약 65 nm 이하 및/또는 미만의 리소그래픽 노드의 마이크로일렉트로닉 디바이스와 같은, 본 발명의 방법을 이용하여 생성된 마이크로일렉트로닉 디바이스를 포함하는 웨이퍼, 및 그 마이크로일렉트로닉 디바이스 자체를 제공한다. 따라서, 약 45 nm, 32 nm, 16 nm 및/또는 11 nm 이하의 리소그래픽 노드들에 대한, 본 발명의 방법을 이용하여 생성된 마이크로일렉트로닉 디바이스는 또한 종래 기술에 대해 신규하고 자명하지 않은 개선을 나타낸다.
또한, 본 발명이 바람직한 특정한 실시형태들과 관련하여 설명되었지만, 전술한 설명은 본 발명의 범주를 예시하려는 의도이며, 한정하려는 의도가 아님을 이해해야 한다. 본 발명의 범주에 속하는 다른 양태, 이점 및 변형예들은 본 발명이 속하는 분야의 당업자에게 자명할 것이다.
본 명세서에서 인용한 모든 특허 및 특허 출원은, 전술한 설명과 일치하는 범위 내에서 그 전체가 참조로 본 명세서에 통합되었다.
도 1 은 본 발명에 따른 열 처리 장치의 예시적인 실시형태에 대한 개략적 측면도.
도 2 는 본 발명의 예시적인 처리에 따른 시간 동안 기판 표면의 영역에 발생하는 온도를 도시한 그래프.
도 3 은 기판 표면에 형성된 어닐링 빔 이미지와 보조 빔 이미지의 오버랩에 대한 예시적인 실시형태를 도시하는, 열 처리중인 도 1 의 기판 표면에 대한 평면도.
총괄적으로 도 4 로 지칭되는 도 4a 및 도 4b 는 예시적인 실시형태를 도시하는, 도 3 과 유사한 평면도. 도 4a 에서는, 일반적으로 보조 빔 이미지가 어닐링 빔 이미지를 선행하여, 보조 빔이 스파이크 어닐링 이미지의 오직 선두 영역과 오버랩한다. 도 4b 에서는, 일반적으로 보조 빔 이미지가 어닐링 빔 이미지에 후행하여, 어닐링 빔 이미지가 보조 빔 이미지의 오직 선두 영역과 오버랩한다.
도 5 는, 보조 빔 이미지가 어닐링 빔 이미지에 대해 스캐닝 방향 쪽으로 연장되고 그 2 개의 이미지가 오버랩하는 예시적인 실시형태를 도시하는, 도 3 과 유사한 평면도.
도 6 은, 보조 빔 이미지가 어닐링 빔 이미지 전체를 포함하는 예시적인 실시형태를 도시하는, 도 3 및 도 5 와 유사한 평면도.
도 7 은, 각각 광섬유를 피딩 (feeding) 하는 복수의 보조 방사 빔 발생기를 포함하는 보조 빔 유닛.
도 8 은 기판 표면을 조사하기 위해 도 7 의 보조 빔 유닛의 이용을 도시한 개략도.
도 9 는, 임의의 시점에 Y 축에 따른 기판 표면 상에서 어닐링 이미지 (150) 및 보조 이미지 (250) 의 상대적 강도의 "스냅 샷".
*도면의 주요 부분에 대한 부호의 설명*
10 : 열처리 장치 20 : 기판 스테이지
22 : 상부 표면 30 : 반도체 기판
32 : 상부 표면 40 : 스테이지 구동기
50 : 제어기 100 : 스파이크 어닐링 빔 유닛
110 : 스파이크 어닐링 방사 소스 120 : 스파이크 어닐링 광학 시스템
130 : 방사 140 : 스파이크 어닐링 빔
150 : 이미지 200 : 보조 빔 유닛
210 : 보조 방사 소스 220 : 보조 광학 시스템
230 : 방사 240 : 보조 빔
250 : 보조 빔 이미지

Claims (33)

  1. 기판의 표면을 열처리하는 장치로서,
    기판을 지지하고, 상기 기판의 표면을 방사 수신 위치에 배치하도록 구성된 스테이지;
    상기 기판의 상부 표면에 제 1 이미지 및 제 2 이미지를 각각 형성하도록 구성된 제 1 방사 소스 및 제 2 방사 소스; 및
    상기 스테이지 및 상기 방사 소스들에 동작가능하게 커플링되며, 기판의 표면과 상기 이미지들 사이에 상대적 스캐닝 움직임을 제공하여, 상기 이미지들이 실질적으로 균일한 피크 스파이크 처리 온도로 스캔 경로를 따라 상기 기판의 표면의 영역들을 처리할 수 있게 하는 제어기를 포함하며,
    상기 제 1 이미지는, 제어된 가열 레이트로 및/또는 제어된 가열 지속기간 동안 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 초기 온도로부터 가열하고, 제어된 냉각 레이트로 및/또는 제어된 냉각 지속기간 동안 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 최종 온도로 냉각시키는데 효과적인 강도 프로파일 및 사이즈를 갖고,
    상기 제 2 이미지는, 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 상기 초기 온도보다 높은 중간 온도로부터 상기 피크 스파이크 처리 온도에 도달하게 하고, 그 후, 상기 최종 온도보다 높은 중간 온도에 도달하게 하는데 효과적인 강도 프로파일 및 사이즈를 갖는, 열처리 장치.
  2. 제 1 항에 있어서,
    상기 기판이 상기 초기 온도에 도달하게 하는 척을 더 포함하는, 열처리 장치.
  3. 제 1 항에 있어서,
    상기 기판이 상기 최종 온도에 도달하게 하는 척을 더 포함하는, 열처리 장치.
  4. 제 1 항에 있어서,
    상기 제 1 방사 소스 및 상기 제 2 방사 소스는 오버랩하는 제 1 이미지 및 제 2 이미지를 형성하도록 구성되는, 열처리 장치.
  5. 제 1 항에 있어서,
    상기 제 1 방사 소스 및 상기 제 2 방사 소스는 오버랩하지 않는 제 1 이미지 및 제 2 이미지를 형성하도록 구성되는, 열처리 장치.
  6. 제 1 항에 있어서,
    상기 중간 온도들은 각각 약 400 ℃ 내지 약 1000 ℃ 인, 열처리 장치.
  7. 제 1 항에 있어서,
    상기 중간 온도들은 대략 동일한, 열처리 장치.
  8. 제 1 항에 있어서,
    제어된 사전 스파이크 가열 레이트, 상기 제어된 가열 지속기간 또는 제 1 중간 온도가, 상기 기판 내의 응력 축적을 감소시키고/시키거나 상기 기판의 전자적 성능을 개선하기 위해 선택되는, 열처리 장치.
  9. 제 8 항에 있어서,
    상기 제어된 사전 스파이크 가열 레이트는, 상기 제 2 이미지에 선행하는 스캔 경로를 따라 상기 기판 표면의 영역들이 약 2 초 미만의 시간 내에 상기 초기 온도로부터 상기 제 1 중간 온도까지 가열되게 하는, 열처리 장치.
  10. 제 8 항에 있어서,
    상기 제어된 사전 스파이크 가열 레이트는, 상기 제 2 이미지에 선행하는 스캔 경로를 따라 상기 기판 표면의 영역들이 원하는 온도 프로파일에 따라 상기 초기 온도로부터 상기 제 1 중간 온도까지 가열되게 하는, 열처리 장치.
  11. 제 1 항에 있어서,
    제어된 사후 스파이크 냉각 레이트가 상기 기판 내의 응력 축적을 감소시키 고/시키거나 상기 기판의 전자적 성능을 개선하도록 선택되는, 열처리 장치.
  12. 제 11 항에 있어서,
    상기 제어된 사후 스파이크 냉각 레이트는, 상기 제 2 이미지에 후속하는 스캔 경로를 따라 상기 기판 표면의 영역들이 약 2 초 미만의 시간 내에 제 2 중간 온도로부터 상기 최종 온도까지 냉각되게 하는, 열처리 장치.
  13. 제 9 항에 있어서,
    제어된 사후 스파이크 냉각 레이트가, 상기 제 2 이미지에 후속하는 스캔 경로를 따라 상기 기판 표면의 영역들이 원하는 온도 프로파일에 따라 제 2 중간 온도로부터 상기 최종 온도까지 냉각되게 하는, 열처리 장치.
  14. 제 1 항에 있어서,
    상기 피크 스파이크 처리 온도는 약 1412 ℃ 미만인, 열처리 장치.
  15. 제 1 항에 있어서,
    스파이크 처리 주기가 약 10 밀리초 이하인, 열처리 장치.
  16. 제 1 항에 있어서,
    상기 기판은 실리콘을 포함하는, 열처리 장치.
  17. 제 1 항에 있어서,
    상기 제 1 방사 소스 및 상기 제 2 방사 소스 중 적어도 하나는 레이저 및/또는 레이저 다이오드를 포함하는, 열처리 장치.
  18. 제 17 항에 있어서,
    상기 레이저 및/또는 레이저 다이오드는 연속적 빔을 생성하도록 구성되는, 열처리 장치.
  19. 제 1 항에 있어서,
    상기 제 2 이미지는, 길이방향 축을 갖는 연장된 이미지인, 열처리 장치.
  20. 제 19 항에 있어서,
    상기 스캔 경로는 상기 연장된 이미지의 상기 길이방향 축에 수직한, 열처리 장치.
  21. 기판의 표면을 열처리하는 방법으로서,
    (a) 제 1 이미지 및 제 2 이미지로 기판의 표면을 조사하는 단계; 및
    (b) 상기 기판의 표면과 상기 이미지들 사이에 상대적 스캐닝 움직임을 제공하여, 상기 기판의 표면의 영역들을 스캔 경로를 따라 실질적으로 균일한 피크 스 파이크 처리 온도로 처리하는 단계를 포함하며,
    상기 제 1 이미지는, 제어된 가열 레이트로 및/또는 제어된 가열 지속기간 동안 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 초기 온도로부터 가열하고, 제어된 냉각 레이트로 및/또는 제어된 냉각 지속기간 동안 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 최종 온도로 냉각시키는데 효과적인 강도 프로파일 및 사이즈를 갖고,
    상기 제 2 이미지는, 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 상기 초기 온도보다 높은 중간 온도로부터 상기 피크 스파이크 처리 온도까지 도달하게 하고, 그 후, 상기 최종 온도보다 높은 또 다른 중간 온도에 도달하게 하는데 효과적인 강도 프로파일 및 사이즈를 갖는, 열처리 방법.
  22. 제 21 항에 있어서,
    척이 상기 기판을 상기 초기 온도에 도달하게 하는, 열처리 방법.
  23. 제 21 항에 있어서,
    상기 제 1 이미지 및 상기 제 2 이미지는 오버랩하는, 열처리 방법.
  24. 제 21 항에 있어서,
    상기 제 1 이미지 및 상기 제 2 이미지는 오버랩하지 않는, 열처리 방법.
  25. 제 21 항에 기재된 방법을 이용하여 생성된 마이크로일렉트로닉 디바이스를 포함하는, 반도체 웨이퍼.
  26. 제 25 항에 있어서,
    상기 마이크로일렉트로닉 디바이스는 약 65 nm 미만인 리소그래픽 노드인, 반도체 웨이퍼.
  27. 기판의 표면을 열처리하는 장치로서,
    기판을 지지하고, 상기 기판의 표면을 방사 수신 위치에 배치하도록 구성되는 스테이지;
    상기 기판의 상부 표면 상에 제 1 이미지 및 제 2 이미지를 각각 형성하도록 구성되는 제 1 방사 소스 및 제 2 방사 소스; 및
    상기 스테이지 및 상기 방사 소스들에 동작가능하게 커플링되고, 상기 기판의 표면과 상기 이미지들 사이에 상대적 스캐닝 움직임을 제공하여, 상기 이미지들이 상기 기판의 표면의 영역들을 가역적 스캔 경로를 따라 실질적으로 균일한 피크 처리 온도로 처리하게 하는 제어기를 포함하며,
    상기 제 1 이미지는, 제어된 가열 레이트로 상기 제 2 이미지에 선행하거나 상기 제 2 이미지 동안 또는 상기 제 2 이미지에 후속하는 상기 가역적 스캔 경로를 따라 상기 기판의 표면의 영역들을 초기 온도로부터 제 1 중간 온도까지 가열하고, 그리고/또는, 제어된 냉각 레이트로 상기 제 2 이미지에 선행하거나 상기 제 2 이미지 동안 또는 상기 제 2 이미지에 후속하는 상기 가역적 스캔 경로를 따라 상기 기판의 표면의 영역들을 제 2 중간 온도로부터 최종 온도까지 냉각시키는데 효과적인 강도 프로파일 및 사이즈를 갖고,
    상기 제 2 이미지는 상기 기판의 표면의 영역들이 상기 가역적 스캔 경로를 따라 상기 피크 처리 온도에 도달하게 하는데 효과적인 강도 프로파일 및 사이즈를 갖는, 열처리 장치.
  28. 제 27 항에 있어서,
    상기 제 1 이미지는 제어된 가열 레이트로 상기 제 2 이미지에 선행하는 상기 가역적 스캔 경로를 따라 상기 기판의 표면의 영역들을 초기 온도로부터 상기 제 1 중간 온도까지 가열하기에 효과적인 강도 프로파일 및 사이즈를 갖고;
    상기 제 2 이미지는 스파이크 처리 주기 내에서 상기 가역적 스캔 경로를 따라 상기 기판의 표면의 영역들을 상기 제 1 중간 온도로부터 상기 피크 처리 온도까지 도달하게 하는데 효과적인 강도 프로파일 및 사이즈를 갖는, 열처리 장치.
  29. 제 27 항에 있어서,
    상기 제 1 이미지는 제어된 냉각 레이트로 상기 제 2 이미지에 후속하는 상기 가역적 스캔 경로를 따라 상기 기판의 표면의 영역들을 상기 제 2 중간 온도로부터 최종 온도까지 냉각시키기에 효과적인 강도 프로파일 및 사이즈를 갖고;
    상기 제 2 이미지는 스파이크 처리 주기 내에서 상기 가역적 스캔 경로를 따 라 상기 기판의 표면의 영역들을 상기 피크 처리 온도에 도달하게 하고, 그 후, 상기 제 2 중간 온도에 도달하게 하는데 효과적인 강도 프로파일 및 사이즈를 갖는, 열처리 장치.
  30. 제 27 항에 있어서,
    상기 제 1 이미지는, 제어된 사전 스파이크 가열 레이트에 대한 제어를 제공하지 않는, 열처리 장치.
  31. 기판의 표면을 열처리하는 방법으로서,
    (a) 기판의 표면을 제 1 이미지 및 제 2 이미지로 조사하는 단계; 및
    (b) 상기 기판의 표면과 상기 이미지들 사이에 가역적인 상대적 스캐닝 움직임을 제공하여, 상기 기판의 표면의 영역들을 스캔 경로를 따라 실질적으로 균일한 피크 처리 온도로 처리하는 단계를 포함하며,
    상기 제 1 이미지는, 제어된 가열 레이트로 상기 제 2 이미지에 선행하는 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 초기 온도로부터 제 1 중간 온도까지 가열하고, 그리고/또는, 제어된 냉각 레이트로 상기 제 2 이미지에 후속하는 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 제 2 중간 온도로부터 최종 온도까지 냉각시키는데 효과적인 강도 프로파일 및 사이즈를 갖고,
    상기 제 2 이미지는, 상기 스캔 경로를 따라 상기 기판의 표면의 영역들을 상기 제 1 중간 온도로부터 상기 피크 처리 온도까지 도달하게 하고, 그 후, 상기 제 2 중간 온도까지 도달하게 하는데 효과적인 강도 프로파일 및 사이즈를 갖는, 열처리 방법.
  32. 제 31 항에 기재된 방법을 이용하여 생성된 마이크로일렉트로닉 디바이스를 포함하는, 반도체 웨이퍼.
  33. 제 31 항에 있어서,
    상기 마이크로일렉트로닉 디바이스는, 약 65 nm 이하인 리소그래픽 노드인, 반도체 웨이퍼.
KR1020090094379A 2008-10-06 2009-10-05 사전 및 포스트 스파이크 온도 제어에 의한 기판의 열처리 KR101271287B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/287,085 US20100084744A1 (en) 2008-10-06 2008-10-06 Thermal processing of substrates with pre- and post-spike temperature control
US12/287,085 2008-10-06

Publications (2)

Publication Number Publication Date
KR20100039243A true KR20100039243A (ko) 2010-04-15
KR101271287B1 KR101271287B1 (ko) 2013-06-04

Family

ID=42075136

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090094379A KR101271287B1 (ko) 2008-10-06 2009-10-05 사전 및 포스트 스파이크 온도 제어에 의한 기판의 열처리

Country Status (4)

Country Link
US (3) US20100084744A1 (ko)
JP (1) JP5586200B2 (ko)
KR (1) KR101271287B1 (ko)
TW (1) TWI469239B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140097298A (ko) * 2011-11-04 2014-08-06 도쿄엘렉트론가부시키가이샤 스파이크 어닐 프로세스를 제어하는 방법 및 시스템
KR20170095997A (ko) * 2014-12-18 2017-08-23 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 웨이퍼 프로세싱을 위한 동적 가열 방법 및 시스템

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7514305B1 (en) * 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates
US8304354B2 (en) * 2010-04-22 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods to avoid laser anneal boundary effect within BSI CMOS image sensor array
US9302348B2 (en) * 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8575043B2 (en) * 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
JP5537615B2 (ja) * 2011-08-10 2014-07-02 ウルトラテック インク 時間平均化ライン像を形成するシステム及び方法
US8884341B2 (en) * 2011-08-16 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
SG195515A1 (en) 2012-06-11 2013-12-30 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9190294B2 (en) * 2012-08-23 2015-11-17 Michael Xiaoxuan Yang Methods and apparatus for separating a substrate
US20140158578A1 (en) 2012-12-06 2014-06-12 Jason Varan Folding apparatus for the containment and transport of bottles and method of use
US8691598B1 (en) * 2012-12-06 2014-04-08 Ultratech, Inc. Dual-loop control for laser annealing of semiconductor wafers
US8956885B2 (en) 2013-05-28 2015-02-17 Globalfoundries Inc. Method and process to reduce stress based overlay error
US9059037B2 (en) 2013-05-31 2015-06-16 Globalfoundries Inc. Methods for overlay improvement through feed forward correction
TW201528379A (zh) * 2013-12-20 2015-07-16 Applied Materials Inc 雙波長退火方法與設備
US9343307B2 (en) * 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
US9559023B2 (en) 2014-06-23 2017-01-31 Ultratech, Inc. Systems and methods for reducing beam instability in laser annealing
JP6378974B2 (ja) * 2014-08-20 2018-08-22 城戸 淳二 レーザアニール装置及びレーザアニール方法
US9613815B2 (en) * 2014-11-24 2017-04-04 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US10083843B2 (en) * 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
WO2016148855A1 (en) * 2015-03-19 2016-09-22 Applied Materials, Inc. Method and apparatus for reducing radiation induced change in semiconductor structures
CN106158609B (zh) * 2015-03-31 2019-07-23 上海微电子装备(集团)股份有限公司 一种激光退火装置及其退火方法
KR102075678B1 (ko) * 2017-06-02 2020-02-12 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10409005B2 (en) * 2018-01-08 2019-09-10 Elenion Technologies, Llc Reducing back reflection in a photodiode
KR102174928B1 (ko) * 2019-02-01 2020-11-05 레이저쎌 주식회사 멀티 빔 레이저 디본딩 장치 및 방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7158553B2 (en) * 2003-02-14 2007-01-02 Lambda Physik Ag Master oscillator/power amplifier excimer laser system with pulse energy and pointing control
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US20050074985A1 (en) * 2003-10-01 2005-04-07 Yoo Woo Sik Method of making a vertical electronic device
JP2005136365A (ja) * 2003-12-22 2005-05-26 Sumitomo Heavy Ind Ltd レーザ照射装置及びレーザ照射方法
TWI297521B (en) * 2004-01-22 2008-06-01 Ultratech Inc Laser thermal annealing of lightly doped silicon substrates
US7482254B2 (en) * 2005-09-26 2009-01-27 Ultratech, Inc. Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
JP2007208044A (ja) * 2006-02-02 2007-08-16 Sharp Corp 半導体薄膜の製造方法および半導体薄膜の製造装置
US7433051B2 (en) * 2006-03-09 2008-10-07 Ultratech, Inc. Determination of lithography misalignment based on curvature and stress mapping data of substrates
US20080045040A1 (en) * 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Laser Spike Anneal With Plural Light Sources
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140097298A (ko) * 2011-11-04 2014-08-06 도쿄엘렉트론가부시키가이샤 스파이크 어닐 프로세스를 제어하는 방법 및 시스템
KR20170095997A (ko) * 2014-12-18 2017-08-23 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 웨이퍼 프로세싱을 위한 동적 가열 방법 및 시스템

Also Published As

Publication number Publication date
TWI469239B (zh) 2015-01-11
JP5586200B2 (ja) 2014-09-10
TW201017797A (en) 2010-05-01
US20110298093A1 (en) 2011-12-08
US20100084744A1 (en) 2010-04-08
JP2010109363A (ja) 2010-05-13
KR101271287B1 (ko) 2013-06-04
US20120111838A1 (en) 2012-05-10

Similar Documents

Publication Publication Date Title
KR101271287B1 (ko) 사전 및 포스트 스파이크 온도 제어에 의한 기판의 열처리
KR100931809B1 (ko) 이중 파장 열적 흐름 레이저 어닐
TWI549190B (zh) 管理基材退火的熱預算
TWI497600B (zh) 用於積體電路製造之具有減少圖案密度效應的超快速雷射退火
KR101382994B1 (ko) 표면 반사도 변화의 최소화
KR101385826B1 (ko) 감소된 휨 및/또는 제어된 변형의 기판 처리
US8796769B2 (en) Thermal flux annealing influence of buried species
US20080045040A1 (en) Laser Spike Anneal With Plural Light Sources
KR20090017084A (ko) 레이저 열처리 장치 및 그 열처리 방법
KR102426156B1 (ko) 이중 파장 어닐링 방법 및 장치
US8071908B1 (en) Edge with minimal diffraction effects

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180427

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee