JP2007087578A - 同期メモリ装置 - Google Patents

同期メモリ装置 Download PDF

Info

Publication number
JP2007087578A
JP2007087578A JP2006278061A JP2006278061A JP2007087578A JP 2007087578 A JP2007087578 A JP 2007087578A JP 2006278061 A JP2006278061 A JP 2006278061A JP 2006278061 A JP2006278061 A JP 2006278061A JP 2007087578 A JP2007087578 A JP 2007087578A
Authority
JP
Japan
Prior art keywords
clock
memory device
synchronous memory
data
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006278061A
Other languages
English (en)
Other versions
JP4219949B2 (ja
Inventor
James Anthony Gasbarro
ガスバロ,ジェイムズ・アンソニー
Mark Alan Horowitz
ホロヴィッツ,マーク・アラン
Richard Maurice Barth
バース,リチャード・モーリス
Winston K M Lee
リー,ウィンストン・ケイ・エム
Wingyu Leung
ローン,ウィンギュ
Paul Michael Farmwald
ファームウォルド,ポール・マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rambus Inc
Original Assignee
Rambus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rambus Inc filed Critical Rambus Inc
Publication of JP2007087578A publication Critical patent/JP2007087578A/ja
Application granted granted Critical
Publication of JP4219949B2 publication Critical patent/JP4219949B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4204Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus
    • G06F13/4208Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus being a system bus, e.g. VME bus, Futurebus, Multibus
    • G06F13/4217Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus being a system bus, e.g. VME bus, Futurebus, Multibus with synchronous protocol
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L7/00Arrangements for synchronising receiver with transmitter
    • H04L7/0008Synchronisation information channels, e.g. clock distribution lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Information Transfer Systems (AREA)
  • Memory System (AREA)
  • Dram (AREA)
  • Synchronisation In Digital Transmission Systems (AREA)
  • Small-Scale Networks (AREA)

Abstract

【課題】クロック・データ・スキューを最小限に抑えられるバス・システムに適する同期メモリ装置を得る。
【解決手段】クロック・データ・スキューを最小限に抑えるバス・システムには、送信クロック用のクロック線セグメントと、受信クロック用のクロック線セグメントとが含まれ、それらのセグメントは、一端にある折返し部によって相互に結合されており、そのようなバス・システムに、上記の同期メモリ装置は、1または複数を結合できる。同期メモリ装置は、書込みデータが受信クロックと同じ方向に同時的に進行し、読取りデータが送信クロックと同じ方向に同時的に送信するように構成され、且つ、書込みデータおよび読取りデータのデータビットが、受信クロックおよび送信クロックの2倍の周波数で転送されるデュアル・エッジ転送に従って受信および送信される。
【選択図】図5

Description

本発明は同期バス・システムに関する。さらに詳細には、本発明は、クロック・データ・スキューを最小限に抑えてエラーを回避し、データ送信を通過するクロック信号に対して同期させるバス・システムに関する。
コンピュータ・システムは通常、バス・システムを使用する。通常、データ・バスには幾つかの装置が結合される。従来のバス・システムは同期動作する、すなわち、クロック信号を使用してデータ信号を有効化する。同期バス・システム内では、クロック・データ・スキューが問題になる可能性がある。なぜなら、そのようなスキューは有効なデータのクロッキングを妨げる恐れがあるからである。したがって、クロック・データ・スキューからデータ・エラーが発生する恐れがある。クロック・データ・スキューは、データ信号伝搬遅延及びクロック信号伝搬遅延によって生じる。
十分短いバス及びクロック線長をもつ従来技術の同期バスでは、クロック信号及びデータ信号が短い距離しか進行せず、ほとんど瞬間的に到着するため、スキューは問題にならないと思われる。しかし、長いデータ・バス及び長いクロック線長をもつ同期バス・システム内では、特に、高クロック速度が望まれる場合、クロック・データ・スキューが問題になることが多い。多数の従来技術の同期バス・システム内では、クロック周期がクロック伝搬遅延より長くなければならない。言い換えれば、クロック速度は一般に、クロック線長が増加するにつれて遅くならなければならない。従来技術のこの関係は第1式で表される。
(1)クロック周期>データ・ツー・クロック信号のセットアップ時間+データ・ツー・クロック信号の保持時間+クロック・データ・スキュー
クロック・データ・スキューを低減する従来技術の方式の1つを図1に示す。単一のクロック源を使用するのでなく複数のクロック源が使用されている。すなわち、調和された多数のクロック線が単一のクロック・ジェネレータに結合されている。クロック線は、クロック線長が長いにもかかわらず、クロック信号がほとんど同じ時点に各装置に到着するように調和されている。したがって、図のバス・システムはバスの伝搬遅延とクロック・ツー・データ・スキューとクロック・ツー・データ保持時間とを加算した値以上であるクロック周期によって動作しなければならない。
しかし、図1のバス・システムの1つの欠点は、そのバス・システムの相対的な複雑さである。クロッキングされる各装置にクロック線が必要であり、各クロック線は通常、すべての装置を同時にクロッキングするように慎重に調整しなければならない。図1のバス・システムの他の欠点は、クロック周期がデータ・バスの伝搬遅延によって制限されることである。
図2は、長いデータ・バスを使用する、異なる従来技術の同期バス・システム方式を示す。マスタ装置は2つのクロック信号、すなわち、受信クロックRCLK及び送信クロックTCLKを生成する。受信クロックは、適当なフレーム制御信号と共に、スレーブ装置によるデータの送信とマスタ装置によるデータ受信を共にクロッキングするために使用される。したがって、図2のバス・システムはクロック周期に対するデータ・バスの伝搬遅延の影響を低減する。
図2のバス・システム方式の欠点は、制御信号の他に(単一のクロック源ではなく)2つのクロック源も必要なことである。他の欠点は、図2のバス・システムが1つのマスタ装置しか許容しないことである。
本発明の一目的は、クロック及びデータのタイミング・エラーを低減し、あるいはなくすことである。
本発明の他の目的は、クロック及びデータのタイミング・エラーを低減しながらデータの高速クロッキングを可能にすることである。
本発明の他の目的は、クロック・データ・スキューを最小限に抑えて比較的長い双方向データ・バスをもつバス・システムでのエラーを回避することである。本発明の他の目的は、クロック・データ・スキューを最小限に抑えて比較的長いクロック線をもつバス・システムでのエラーを回避することである。
本発明の他の目的は、クロック・データ・スキューを最小限に抑えて比較的高い速度のバスを有するコンピュータ・システムでのエラーを回避することである。
本発明の他の目的は、バスの速度がクロック線長やバス長によって制限されるのを回避することである。
本発明の他の目的は、クロック・データ・スキューが低減され、あるいはまったくない同期バス・システムを提供することである。
本発明の他の目的は、比較的長いバスをもつ高速バス・システムでの複雑なクロックの必要性をなくすことである。
本発明の他の目的は、高速同期データ・バスを提供することである。
本発明の他の目的は、バス上の装置間に一定待ち時間プロトコルを適応させる同期データ・バスを提供することである。
本発明の他の目的は、複数のマスタ装置に適応するデータ・バスを提供することである。
本発明の他の目的は、クロック同期がバス長から独立したデータ・バスを提供することである。
クロック・データ・スキューを最小限に抑えるトポロジーを有するバス・システムについて説明する。このバス・システムはデータ・バスと、クロック線と、データ信号をデータ・バスに送信するための手段とを含む。クロック線は、それぞれがデータ・バスの全長に延びる2つのセグメントを有する。これらのセグメントは、データ・バスの一端での折返しによって結合されている。バス・システム中の装置は、一方のクロック線セグメントを受信クロックとして、他方のクロック線セグメントを送信クロックとして使用する。データ信号がクロック信号に対して一定位相関係でデータ・バス上を進行するようにデータ信号をデータ・バス上に送信するための手段が提供されている。このバス・トポロジーの結果は、データ・バス上に送信されるデータ信号が、データを受信するために使用されるクロック信号と同じ方向に同時に進行することである。
バス・システムは、データ信号がクロック信号に対して一定位相関係でデータ・バス上を進行するように、同期回路を使用してデータ信号をデータ・バスに送信する。同期回路は特定の装置の送信クロックとデータを同期する。同期回路は、受信クロックを送信クロックと比較することによって選択信号を生成する位相比較機構を含む。マルチプレクサは選択信号を使用して、受信クロックに調整されたデータの遅延バージョンと非遅延バージョンのどちらかを選択する。マルチプレクサ出力はラッチの入力に結合され、ラッチのイネーブル入力は送信クロックに結合されている。したがって、ラッチは特定の装置の送信クロックと同期されてデータを出力する。
本発明の他の目的、特徴、及び利点は、以下の添付の図面及び詳細な説明から明らかになろう。
図3は、1つの好ましい同期バス・システム100をブロック図の形で示す。以下で詳細に説明するように、バス・システム100はクロック・データ・スキューを低減し、あるいはなくす。そのために、バス・システム100はクロック分散(分配)システムを各装置内の同期回路と共に使用する。
クロック分散(分配)システムは、2つのセグメントを有するクロック線(クロックライン)を含む。一方のセグメントはデータ・バスの一端からデータ・バスの第2の端部の近くの折返し点へ延びている。他方のクロック・セグメントは折返しからデータ・バスの第2の端部へ延びている。このトポロジーでは、装置によってデータ・バス上に結合されたデータ信号が、データを受信するために第2の装置によって使用されるクロック信号と同じ方向に同時に進行する。
したがって、バス・システム100の同期回路によって、クロック信号とデータ信号が一緒に進行するように、実質的に送信クロックが到着した時点でデータを送信することができる。言い換えると、同期回路は、データ信号がクロック信号に対して一定位相関係でデータ・バス上を進行するように、特定のデータ信号をデータ・バスに送信する。これはクロック・データ・スキューを最小限に抑えるように働き、それによって、クロック・データ・スキューによって発生するエラーが低減され、あるいはなくなる。
高速同期バス・システム100はマスタ装置102と、スレーブ装置104、106、108、及び110とを含む。マスタ装置102はスレーブ装置104、106、108、及び110にデータ・バス120を介して結合されている。マスタ装置102はクロック線の折返しの近くに位置することが好ましい。
「マスタ」及び「スレーブ」は、本明細書では、従来の意味と幾分異なる。バス・システム100内では、マスタは他のマスタとスレーブの両方と通信でき、クロック線の折返しの近くに位置する装置である。これに対して、スレーブはマスタとしか通信できず、データ・バス120に沿ってどこにでも位置することができる。
1つの実施例では、マスタ102はマイクロプロセッサである。他の実施例では、マスタ装置102は周辺制御装置である。 1つの実施例では、スレーブ装置104、106、108、及び110は高速メモリである。たとえば、スレーブ装置104、106、108、及び110はDRAM(ダイナミック・ランダム・アクセス・メモリ)でよい。他の実施例では、スレーブ装置104、106、108、及び110はバス・トランシーバである。他の実施例では、スレーブ装置104、106、108、及び110は周辺装置である。他の実施例では、スレーブ装置104、106、108、及び110は入出力(I/O)ポートとして機能する。
同期バス・システム100は多数のスレーブ装置を含むことができる。ただし、図3では4つしか図示していない。同期通信システム100は複数のマスタを含むこともできる。複数のマスタを含む実施例では、迅速な通信を容易にするように、マスタ装置はクロック線の折返しの近くに相互に接近して位置すべきである。
マスタ装置102はアクセス要求パケットを同報通信することによってデータの交換を開始する。各スレーブ装置104、106、108、及び110はアクセス要求パケットを復号し、それ自体が選択されたスレーブ装置であるかどうかと、要求されたアクセスのタイプを判定する。選択されたスレーブ装置は次いで、データ・パケットをパイプライン的に読み取り、あるいは書き込んで、適切に応答する。
同期バス・システム100は、一定待ち時間プロトコルを使用してデータ・バス120上でデータを交換することが好ましい。一定待ち時間プロトコルでは、どのスレーブ装置がデータを送信するかにかかわらず、マスタ装置102によるデータに対する要求とマスタ装置102によるそのデータの第1バイトのクロック・インとの間に一定数のクロック・サイクルが発生する必要がある。一定待ち時間プロトコルでは、送信される制御情報が一定待ち時間を使用する必要もある。
データ・バス120は、マスタ装置102とスレーブ装置104、106、108、及び110の間の高速双方向直接相互接続を提供する。データ・バス120は、デュアル・エッジ転送によって約250メガヘルツ(“MHz”)で動作することが好ましい。言い換えると、転送は約2ナノ秒ごとに行うことができる。データ・バス120のエンド・ツー・エンド信号伝搬遅延はクロック周期と比べて大きい。実際の所、同期通信システム100の一実施例では、データ・バス120のエンド・ツー・エンド伝搬遅延はクロック周期のエンド・ツー・エンド伝搬遅延の約半分であり、約4ナノ秒である。このデータ信号伝搬遅延は、幾つかの従来の同期システムで、受け入れられないクロック・データ・スキューを導入する恐れがある。
データ・バス120に結合された装置は、データを受信し、クロック信号を受信するために、待ち時間が非常に短い入力回路を含まなければならない。たとえば、位相ロックループ、遅延ロックループ、又はクロック補償回路はすべて、受け入れられるほど短い待ち時間を備えている。
クロック分散(分配)システム130は、クロック信号及びデータ信号を同じ方向に進行させることによってクロック・データ・スキューをなくすのを助ける。クロック分散(分配)システム130はクロック132とクロック線134とを含む。クロック132は装置102、104、106、108、及び110の外部にあり、それらから独立している。クロック生成が独立しているので、バス・システム100は複数のマスタに適応する。クロック132は、クロック信号がクロック132からクロック線134の逆の端部に向かって一方向だけに進行するようにクロック線の端部に結合されている。クロック線134はバス・システム100内のすべての装置にクロック信号を運ぶ。クロック線134は長く、データ・バス120の長さの2倍に近く、データ・バス120の一端付近で折り返し、または向きを変える。したがって、クロック線134は2つのクロック線セグメントとみなすことができる。セグメント136はデータ・バス120の一端から、データ・バス120の他端に位置する折返し点137へ延びている。他方のセグメントであるセグメント138は、折返し点137からデータ・バス120の逆の端部へ延びている。
好ましい実施例では、各クロック線136及び138の伝搬遅延は実質的にデータ・バス120の伝搬遅延に等しい。
セグメント136上のクロック信号は、クロック132からマスタ装置102に向かって進行する。このため、セグメント136上のクロック信号はCLOCKTOMASTERと呼ばれている。CLOCKTOMASTERは、スレーブ装置104、106、108、及び110によって送信されるデータ信号と同じ方向にデータ・バス120を介して進行する。スレーブ装置104、106、108、及び110の送信クロック入力はCLCKTOMASTERに結合されている。図3では、これが、スレーブ装置送信クロック入力TCLK、TCLK、TCLKとセグメント136との接続によって示されている。マスタ装置102はセグメント136上のクロック信号を使用して、データ・バス120上のデータ信号を受信する。したがって、マスタ装置102の受信クロック入力RCLK0はセグメント136に結合されている。
折返し137によって、セグメント138上のクロック信号は方向を変えて、データ・バスの逆の端部に向かって進行する。これは、データ信号がマスタ装置102からスレーブ装置104、106、108、及び110へ進行するのと同じ方向である。このため、マスタ装置102は、CLOCKFROMMASTERと呼ばれる信号を送信クロックTCLK0として使用する。対称的に、スレーブ装置104、106、108、及び110はCLOCKFROMMASTERを受信クロック入力として使用する。マスタ装置からのデータ信号は、セグメント138上の活動CLOCKFROMMASTER信号と同じ方向でスレーブ装置へ進行する。
クロック信号とデータ信号を同じ方向にしても、クロック・データ・スキューをなくすのに十分ではない。クロック線134の長さは、活動クロック・パルスが各装置102、104、106、108、及び110に同時に到着しないようなものである。したがって、各装置102、104、106、108、及び110は、ちょうど活動送信クロックが通過した時点でデータ信号をデータ・バス120上に結合しなければならない。これは、サーファーが波頭を捕らえてそれに乗るために波頭を観測し予測するサーフィンに類似している。しかし、装置102、104、106、108、及び110が直面する同期の問題は、サーフィンよりも複雑である。なぜなら、各装置が受信クロックによってデータを受信し、別の送信クロックによってデータを送信するからである。
クロック分散(分配)システム130内では、クロック源が使用されるので送信クロックと受信クロックは常に同じ周波数を有する。しかし、折返し137に対する装置の位置が与えられている場合、CLOCKFROMMASTERとCLOCKTOMASTERの間の位相は変動する。図4A、図4B、及び図4Cは、データ・バス120の伝搬遅延が1クロック周期にほとんど等しいバス・システム100の実施例に関するCLOCKFROMMASTERとCLOCKTOMASTERの間の位相差を示す。もちろん、位相の正確な量は、クロック周期及びデータ・バス長が変動するにつれて変動する。
図4Aは、折返し137の非常に近くに位置するスレーブ装置104のクロック信号のタイミングを示す。この位置では、CLOCKFROMMASTER/RCLK160とCLOCKTOMASTER/TCLK16の間の位相差はほとんど0°である。
図4Bは、折返し137から離れた、データ・バス120の長さのほとんど半分の位置に位置するスレーブ装置106用の受信クロックRCLK160及びTCLK162のタイミングを示す。この位置では、CLOCKFROMMASTER/RCLK160とCLOCKTOMASTER/TCLK162の間の位相差は約180°である。
図4Cは、スレーブ装置110に対する信号のタイミングを示す。折返し137から遠く離れており、CLOCKFROMMASTER/RCLK160とCLOCKTOMASTER/TCLK162の間の位相差は約360°である。
バス・システム100内の各装置が経験する位相差は、バス・システム100内で同じ装置を使用することに対する課題である。この要件を満たすには、装置の受信クロックと送信クロックの間の可変位相差に責任を負う同一の回路が必要である。
図5は、可変位相差を補償する同期回路150をブロック図の形で示す。同期回路150は各装置102、104、106、108、及び110に含まれる。簡単に説明すると、同期回路150は、受信クロックに調整されたデータ信号を送信クロックと同期させる。したがって、同期回路150は、1つの装置によってデータ・バス上に結合されたデータ信号が、データを受信するために他の装置によって使用されるクロック信号と一緒に同時に進行するようにする。
同期回路150は位相比較機構152と、遅延要素154と、2:1マルチプレクサ156と、ラッチ158とを含む。
位相比較機構152は受信クロック入力RCLK160を送信クロック入力TCLK162と比較して、2つの信号の間の相対位相を求める。スレーブ装置104、106、108、及び110では、CLOCKFROMMASTERが入力RCLK160に結合され、CLOCKTOMASTERが入力TCLK162に結合される。
位相比較機構152から出力される信号SKIP164は2つの入力160と162の間の相対位相を表す。SKIPは折返し137の近くのスレーブ装置ではローであり、折返し137から遠くのスレーブ装置ではハイである。データ・バス120の中心では、SKIP164のレベルが不確かであるが、後で説明するようにこれは問題ではない。
SKIP164は、マルチプレクサ156への2つの入力の内どちらをラッチ158に出力するかを選択する。マルチプレクサ156への1つの入力は、遅延されない受信データ155である。マルチプレクサ156への第2の入力は受信データ155の遅延バージョン、遅延された受信データ157である。遅延された受信データ157は遅延要素154によって生成される。折返し137から離れたスレーブ装置では、遅延は必要とされず、SKIP164は遅延されない受信データ155を選択する。折返し137近くのシステム100の逆の端部では、SKIP164は遅延された受信データ157を選択して、それらの装置に対する短い伝搬遅延を補償する。
ラッチ158はマルチプレクサ156の出力を捕獲して、データをTCLK162と同期させる。
データ・バス120上にデータを乗せる前に、装置の送信クロックに同期される追加段163をラッチ158の後に挿入することができる。
図6は同期回路150の概略図である。説明を簡単にするために、単一のデータ・ビット用の同期回路を図示する。データ・ワード全体に対する同期は単に、多数の遅延要素154、2:1マルチプレクサ156、及びラッチを並行して使用することによって達成される。1スレーブ装置当たりに必要な位相比較機構152は1つだけである。
同期回路150は、図5に関して説明したものとはわずかに異なる。これによって、受信データは装置の送信クロックの遷移に集中するようになる。言い換えると、同期回路150は、第1の装置によってバスに乗せられたデータが第2の装置の受信クロックの遷移に集中するようにする。これは、TCLK162の拡張バージョンTCLK+90°166とデータを同期させることによって行われる。TCLK+90°166は、やはり各装置102、104、106、108、及び110に含まれる位相同期ループを使用してTCLK162から生成される。位相同期ループはTCLK+90°166の補数TCLK+90°B167を生成する。ここで、“B”はバー又は補数を表す。
同期回路150内では、位相比較機構152は2つのエッジ・トリガDフリップフロップ回路168及び170で構成されている。Dフリップフロップ回路168はRCLKD161の立下りエッジ上でTCLK162をサンプルする。RCLKD161はRCLK160のわずかに遅延されたバージョンである。このわずかな遅延によってDフリップフロップ回路168のタイミングがバイアスされ、折返し137の近くの装置では、すなわち、CLOCKTOMASTERとCLOCKFROMMASTERが同位相のときは、出力がローになる。
Dフリップフロップ回路168の出力180は、折返し137から離れた装置ではハイであり、折返し137の近くの装置ではローである。出力180は、データ・バス120の中央の近くの装置では、不確かであり、準安定性であることもある。Dフリップフロップ回路170は出力180をサンプルし、SKIP164がうまく整定するようにする。Dフリップフロップ回路170は、パケットの始めを示す信号PKTSTART182を使用して出力180をサンプルする。各スレーブ装置は、マスタ装置102がスレーブへのアクセスを要求したと判定したときにそれ自体のPKTSTART182を生成する。PKTSTART182の立下りエッジと、SKIP164を使用してSKIP164がうまく整定できるようにするときとの間には十分時間がある。後述のように、データ・バス120の中心では、マスタ装置102でのタイミングがどの場合にも受け入れられるので、SKIP164がハイで整定するか、それともローで整定するかは問題ではない。
同期回路150内では、ラッチ186が遅延要素154に対応する。ラッチ184は、RCLK160をイネーブル入力として使用して、送信すべきデータRDATA187を捕獲する。ラッチ186はラッチ184の出力RDE188を捕獲し、RCLKB189を使用して半クロック・サイクルだけ遅延させる。RCLKB189はRCLK160の補数である。
RCLK160とTCLK162の間の位相差がゼロに近づくにつれて、RCLK160によってクロッキングされたデータをTCLK162と同期させるのは難しくなる。これは、2つのクロック信号が共に同時に状態を変更するからである。ラッチ186は、データのクロッキングをRCLK160からRCLKB189に変更することによってこの問題を軽減することを助ける。
マルチプレクサ156の入力はRDO190及びRDE188に結合されている。SKIP164は2つのマルチプレクサ入力の内の一方を選択する。
ラッチ200および202は全体的にラッチ158に対応する。2つのラッチは、タイミングの危険を回避しながらRCLKドメインとTCLKドメインの間でデータを転送するために使用される。
バス120を介して伝搬された後にマスタ装置102によって受信されるデータ信号は、TDATA204と呼ばれる。TDATA204はTDO203の遅延バージョンである。遅延の量は、追加段163によって発生する遅延と、マスタ装置102と各スレーブ装置の間の信号伝搬遅延に依存する。
図7A、図7B、及び図7Cは、折返し137に対する3つの異なる位置での同期回路150の動作を示す。データを送信する装置にかかわらず、データは、送信側装置の送信クロック、たとえば、スレーブ装置104、106、108、及び110のCLOCKTOMASTERの遷移に集中される。言い換えると、各スレーブ104、106、108、及び110は、マスタの受信クロックの遷移に集中されたデータを送信する。したがって、マスタ102は常に、有効なデータをクロック・インする。
図7A、図7B、及び図7Cではある種の表記法及び規則が使用されている。これらの3つの装置中の同期回路信号は、数値添字によって相互に区別されている。たとえば、スレーブ装置104中のSKIP164はSKIPと呼ばれ、スレーブ装置108中のSKIP164はSKIPと呼ばれている。信号RCLKD、RCLKD、及びRCLKDは別々の波形で表されてはいない。これらの信号の立下りエッジはRCLK、RCLK、及びRCLKの波形上の点線で表されている。RDE188、RDO190、TDE201、TDO203、及びTDATA204の波形は、これらの信号がいつ有効か、あるいは無効かだけを示し、それらの値は示していない。これらの信号が無効な周期は複数の“X”で示されている。通常、信号は、その生成元の信号の内の1つが状態を変更している間は無効である。
図7Aは、折返し137の近くのスレーブ装置104のタイミングを示す。TCLK162はRCLKD161が立ち下がるまでローであり、したがってSKIP164はローである。マルチプレクサ156はRDO190をラッチ200に結合することによってSKIP164に応答する。ラッチ202は、受信クロック・ドメインから送信クロック・ドメインにデータを変換した後、TDO203を出力する。スレーブ装置104とマスタ装置102の間にはほとんど信号伝搬遅延がないので、TDO203の波形とTDATA204の波形は同じである。TDATA204は常に、RCLK162の遷移に集中する。これは一例として、RCLK162の遷移に整列され、かつTDATA204と交差する、垂線212によって示されている。
データ・バス102の中央の近くのタイミングについて論じる前に、折返し137から遠くのタイミングの簡単なケースを検討する。このケースを図7Cに示す。データ・バス120のこの端部では、TCLK162はRCLKD161が立ち下がってもハイであり、したがってSKIP164はハイである。マルチプレクサ156はRDE188をラッチ200に結合することによってSKIP164に応答する。ラッチ202は、受信クロック・ドメインから送信クロック・ドメインにデータを変換した後、TDO203を出力する。TDATA204は常に、RCLK162の遷移に集中する。これは一例として、RCLK162の遷移に整列され、かつTDATA204と交差する、垂線212によって示されている。
図7Bは、データ・バス120の中央の近くのスレーブ装置106のタイミングを示す。RCLKD161が立ち下がるとき、TCLK162はハイであっても、ローであってもよく、したがって、SKIP164はハイであっても、ローであってもよい。これは、図7BではSKIP164に関する2本の線で示されており、この内の1本はハイであり1本はローである。その結果、マルチプレクサ156はRDE188又はRDO190をラッチ200へ出力する。ラッチ200の出力TDE201は、TCLK+90°166がハイである間、ラッチ200の入力に従うようにイネーブルされる。TDE201は、TCLK+90°166の立上りエッジの後の短い期間中は不確かである。ラッチ200がオープンした後1ビットの間、可能な入力の1つRDO190が不確かなので、TDE201は1ビットだけ長い間不確かなままである。しかし、TDE201は、SKIP164によってどの信号が選択されたかにかかわらず、TCLK+90°B167がハイになる前に整定する。その結果、ラッチ202の出力TDO203が不確かなのは、TCLK+90°B167の立上りエッジの後の短い期間中だけである。TDO203は最終的にデータ・バス120に結合され、ある程度の伝搬遅延の後にTDATA204としてマスタ装置102に到着する。TDATA204は常に、RCLK162に集中する。これは一例として、RCLK162の遷移に整列され、かつTDATA204と交差する、垂線212によって示されている。
したがって、図7A、図7B、及び図7Cは共に、バス・システム100がクロック信号とデータ信号を一緒に進行させ、かつマスタ装置102に一緒に到着させることによってクロック・データ・スキューを低減することを示す。
また、データを送信中のスレーブ装置にかかわらず、データが常に同時にマスタ装置102に到着するので、バス・システム100は一定待ち時間プロトコルに適応する。幾つかの従来のバス・システムでは、スレーブからマスタへの可変信号伝搬遅延によって一定待ち時間プロトコルを使用することができない。
可変信号伝搬遅延がなぜ一定待ち時間プロトコルに対して問題であるかは、以下の第2式に関してよりよく理解することができる。
(2)待ち時間=2(マスタ・スレーブ間信号伝搬遅延)+スレーブ・アクセス遅延
第2式の2つの項の内、バス・システム100内では信号伝搬遅延だけが可変である。すべての装置が同じであると仮定すると、アクセス遅延はすべてのスレーブ装置に対して同じである。これに対して、バス・システム100での伝搬遅延は折返しに対する装置の位置とクロック線の長さに応じて変動する可能性がある。したがって、待ち時間は、同期回路150が存在する場合、マスタ・ツー・スレーブ伝搬遅延の2倍だけ変動する恐れがある。
図7A、図7B、及び図7Cは、データの第1のバイトがRCLK162の同じ立上りエッジでマスタ装置102に到着するように同期回路150が助けることを示す。マスタ装置102に到着すべきデータの第1のバイトをTDATA204の“A”と呼ぶ。バイトAが有効であるべきRCLK162のクロック・パルスを“X”と呼ぶ。図7から分かるように、垂線212はパルスXに整列されており、TDATA、TDATA、及びTDATAの有効バイトAデータと交差する。
図8は代替同期システム200をブロック図の形で示す。システム200は、同期しなければならない1データ・ワード当たりビット数が多い設計に適している。RDATA187ではなくTLOAD202を同期回路150に結合することによって複数のビットを再整列するために同期回路150の単一のインスタンスが使用されている。同期回路150は、受信クロック・ドメインから送信クロック・ドメインにデータを変換するのに必要とされる制御信号206を生成する。制御信号206は、RLOAD204が活動状況になるよりも2クロック・サイクル前に発生するパルス信号である。制御信号206は1クロック・サイクル中活動状況に保持される。制御信号206は、その1サイクルの後に、次のデータ・ワードを同期すべきときになるまで非活動状況に保持される。
同期動作は、TLOAD202及びRLOAD204によって部分的に制御される。TLOAD202とRLOAD204は共にクロック・ドメイン信号を受信する。TLOAD202は、RLOAD204が活動状況になるよりも2クロック・サイクル前に発生するポジティブ・ゴーイング・エッジで活動状況になる。これによって、システム200は同期回路150による伝搬遅延の責任を負うことができる。RLOAD204は、同期中のあらゆるデータ・ワードの第1のクロック・サイクル全体にわたってアクティブ・ハイに保持される。RLOAD204は、次のデータ・ワードが受信されるまで非活動状況に保持される。
したがって、クロック・データ・スキューを最小限に抑えるバス・システムについて説明した。このバス・システムはデータ・バスと、クロック線と、同期回路とを含む。クロック線は2つのクロック線セグメントを有する。各クロック線セグメントはデータ・バスの全長にわたって延び、データ・バスの一端にある折返しによって他方のクロック線セグメントに連結されている。クロック線は、クロック信号とデータ信号が同じ方向へ進行するようにする。同期回路は、データ信号が、データを受信するために受信側装置によって使用されるクロック信号と一緒に同時に進行するようにデータ・バス上に置かれるように助ける。
前記の明細書では、特定の典型的な実施例に関して本発明を説明した。しかし、本発明には、添付の特許請求の範囲に記載したその広い趣旨及び範囲から逸脱せずに、様々な修正及び変更を加えられることが明らかになろう。したがって、明細書及び図面は、制限的な意味ではなく例示的な意味で考察すべきである。
1つの従来技術のバス・システムのブロック図である。 他の従来技術のバス・システムのブロック図である。 クロック分散(分配)システムのブロック図である。 クロック信号波形の例を示す図であり、Aはクロック線の折返しの近くに位置する装置のクロック信号波形の例を示し、Bはクロック線の中央に位置する装置のクロック信号波形の例を示し、Cは折返しから比較的遠くに位置する装置のクロック信号波形の例を示す図である。 同期回路のブロック図である。 同期回路の概略図である。 同期回路のタイミング図であり、Aは折返しの近くに位置する同期回路のタイミングを示し、Bはクロック線の中央近くに位置する同期回路のタイミングを示し、Cは折返しから比較的遠くに位置する同期回路のタイミングを示す。 代替同期方式のブロック図である。
符号の説明
102 マスタ装置102;
104,106,108,110 スレーブ装置; 120 データ・バス;
134 クロック線; 136,138 クロック線セグメント;
137 クロック線折り返し部; 152 位相比較器; 154 遅延要素;156 マルチプレクサ

Claims (20)

  1. データを記憶するダイナミック・メモリ・セルのアレイを含む集積回路の同期メモリ装置であって、
    書込みデータおよび受信クロックを受ける入力回路を備え、書込みデータは前記受信クロックと同じ方向に同時的に進行し、書込みデータは、そのデータビットが前記受信クロックの2倍の周波数で転送されるデュアル・エッジ転送に従って受信されるものであり、
    読取りデータを送信クロックと同じ方向に同時的に送信する送信回路を備え、読取りデータは、そのデータビットが前記送信クロックの2倍の周波数で転送されるデュアル・エッジ転送に従って送信されるものである
    ことを特徴とする、同期メモリ装置。
  2. 請求項1に記載の同期メモリ装置において、前記送信クロックと前記受信クロックとを比較して同期メモリ装置の集積回路制御装置に対する位置を特定する同期回路を、さらに備えることを特徴とする、同期メモリ装置。
  3. 請求項2に記載の同期メモリ装置において、読取りデータを送信するタイミングは、前記同期装置によって、前記同期メモリ装置と前記集積回路制御装置との間での伝播遅延が補償されるよう、前記送信クロックに対して調整されることを特徴とする、同期メモリ装置。
  4. 請求項2または3に記載の同期メモリ装置において、前記同期回路は、
    前記送信クロックを前記受信クロックと比較して、それら間における相対的位相差を現すSKIP信号を生じる位相比較器を備え、
    前記読取りデータを受けてそれを遅らせた遅延読取りデータを生じる遅延要素を備え、
    前記遅延要素および前記位相比較器に結合されたマルチプレクサにして、前記読取りデータおよび遅延読取りデータの一方を、前記送信回路から送信すべきものとして、前記SKIP信号に応じて選択するマルチプレクサを備えている
    ことを特徴とする、同期メモリ装置。
  5. 請求項1〜4の何れか1項に記載の同期メモリ装置において、前記入力回路は、
    前記送信クロックを第1クロック線セグメントから受信する送信クロック入力を備え、
    前記受信クロックを第2クロック線セグメントから受信する受信クロック入力を備えている
    ことを特徴とする、同期メモリ装置。
  6. 請求項5に記載の同期メモリ装置において、
    前記第2クロック線セグメントを進行する前記受信クロックが前記同期メモリ装置を通り過ぎる時点に、前記書込みデータは、外部データラインから前記入力回路へと転送され、
    前記第1クロック線セグメントを進行する前記送信クロックが前記同期メモリ装置を通り過ぎる時点に、前記読取りデータは、前記送信回路から前記外部データラインへと転送される
    ことを特徴とする、同期メモリ装置。
  7. 請求項6に記載の同期メモリ装置において、前記受信クロックは、前記第1クロック線セグメントおよび前記第2クロック線セグメントを繋ぐ折り返し部を通して前記送信クロックが前記第2クロック線セグメントに伝播したものであって、前記送信クロックの遅延したクロックである、ことを特徴とする、同期メモリ装置。
  8. 請求項1〜7の何れか1項に記載の同期メモリ装置において、前記送信クロックと前記受信クロックとは同じ周波数である、ことを特徴とする、同期メモリ装置。
  9. 請求項1〜8の何れか1項に記載の同期メモリ装置において、前記送信回路が読取りデータの送信に使用する内部送信クロックを発生するロックループ回路を、さらに備えていることを特徴とする、同期メモリ装置。
  10. 請求項9に記載の同期メモリ装置において、前記ロックループ回路は位相ロックループ回路であることを特徴とする、同期メモリ装置。
  11. 請求項9に記載の同期メモリ装置において、前記ロックループ回路は遅延ロックループ回であることを特徴とする、同期メモリ装置。
  12. 請求項1〜11の何れか1項に記載の同期メモリ装置において、前記受信クロックの周波数は少なくとも250MHzであることを特徴とする、同期メモリ装置。
  13. データを記憶するダイナミック・メモリ・セルのアレイを含む集積回路の同期メモリ装置であって、
    書込みデータおよび受信クロックを受ける入力回路を備え、書込みデータは前記受信クロックに対して同じ方向に且つほぼ一定の位相関係で進行し、書込みデータは、それの2つのデータビットが前記受信クロックの1サイクル中に行われる、デュアル・エッジ転送に従って受信されるものであり、
    読取りデータを外部信号ラインへと、送信クロックに対して同じ方向で且つほぼ一定の位相関係で進行するよう送信する出力ドライバが含まれている送信回路を備え、読取りデータは、それの2つのデータビットが前記送信クロックの1サイクル中に行われる、デュアル・エッジ転送に従って送信されるものであり、
    前記送信回路に結合され、前記送信回路が読取りデータの送信に使用する内部送信クロックを発生するロックループ回路を備えている
    ことを特徴とする、同期メモリ装置。
  14. 請求項13に記載の同期メモリ装置において、さらに、
    前記送信クロックと前記受信クロックとを比較して同期メモリ装置の集積回路制御装置に対する位置を特定し、読取りデータを送信するタイミングを、前記同期メモリ装置と前記集積回路制御装置との間での伝播遅延が補償されるよう、前記送信クロックに対して調整する同期回路を備える
    ことを特徴とする、同期メモリ装置。
  15. 請求項14に記載の同期メモリ装置において、前記同期回路は、
    前記送信クロックを前記受信クロックと比較して、それら間における相対的位相差を現すSKIP信号を生じる位相比較器を備え、
    前記読取りデータを受けてそれを遅らせた遅延読取りデータを生じる遅延要素を備え、
    前記遅延要素および前記位相比較器に結合されたマルチプレクサにして、前記読取りデータおよび遅延読取りデータの一方を、前記送信回路から送信すべきものとして、前記SKIP信号に応じて選択するマルチプレクサを備えている
    ことを特徴とする、同期メモリ装置。
  16. 請求項13,14または15に記載の同期メモリ装置において、
    第1クロック線セグメントを進行する前記送信クロックが前記同期メモリ装置を通り過ぎる時点に、前記読取りデータは、前記送信回路から前記外部データラインへと転送され
    第2クロック線セグメントを進行する前記受信クロックが前記同期メモリ装置を通り過ぎる時点に、前記書込みデータは、外部データラインから前記入力回路へと転送される、
    ことを特徴とする、同期メモリ装置。
  17. 請求項16に記載の同期メモリ装置において、前記受信クロックは、前記第1クロック線セグメントおよび前記第2クロック線セグメントを繋ぐ折り返し部を通して前記送信クロックが前記第2クロック線セグメントに伝播したものであって、前記送信クロックの遅延したクロックである、ことを特徴とする、同期メモリ装置。
  18. 請求項13〜17の何れか1項に記載の同期メモリ装置において、前記ロックループ回路は遅延ロックループ回路である、ことを特徴とする、同期メモリ装置。
  19. 請求項13〜17の何れか1項に記載の同期メモリ装置において、前記ロックループ回路は位相ロックループ回路である、ことを特徴とする、同期メモリ装置。
  20. 請求項13〜19の何れか1項に記載の同期メモリ装置において、前記送信クロックの周波数は少なくとも250MHzであることを特徴とする、同期メモリ装置。
JP2006278061A 1992-03-06 2006-10-11 同期メモリ装置 Expired - Lifetime JP4219949B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US84841792A 1992-03-06 1992-03-06

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003200585A Division JP4073836B2 (ja) 1992-03-06 2003-07-23 同期メモリ装置

Publications (2)

Publication Number Publication Date
JP2007087578A true JP2007087578A (ja) 2007-04-05
JP4219949B2 JP4219949B2 (ja) 2009-02-04

Family

ID=25303199

Family Applications (3)

Application Number Title Priority Date Filing Date
JP51576593A Expired - Fee Related JP3517237B2 (ja) 1992-03-06 1993-03-03 同期バス・システムおよびそのためのメモリ装置
JP2003200585A Expired - Fee Related JP4073836B2 (ja) 1992-03-06 2003-07-23 同期メモリ装置
JP2006278061A Expired - Lifetime JP4219949B2 (ja) 1992-03-06 2006-10-11 同期メモリ装置

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP51576593A Expired - Fee Related JP3517237B2 (ja) 1992-03-06 1993-03-03 同期バス・システムおよびそのためのメモリ装置
JP2003200585A Expired - Fee Related JP4073836B2 (ja) 1992-03-06 2003-07-23 同期メモリ装置

Country Status (4)

Country Link
US (1) US5432823A (ja)
JP (3) JP3517237B2 (ja)
DE (2) DE4345604B3 (ja)
WO (1) WO1993018463A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021043870A (ja) * 2019-09-13 2021-03-18 キオクシア株式会社 半導体記憶装置、及びストレージデバイス

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE40552E1 (en) 1990-04-06 2008-10-28 Mosaid Technologies, Inc. Dynamic random access memory using imperfect isolating transistors
GB9007790D0 (en) * 1990-04-06 1990-06-06 Lines Valerie L Dynamic memory wordline driver scheme
GB9007791D0 (en) * 1990-04-06 1990-06-06 Foss Richard C High voltage boosted wordline supply charge pump and regulator for dram
US5498990A (en) * 1991-11-05 1996-03-12 Monolithic System Technology, Inc. Reduced CMOS-swing clamping circuit for bus lines
EP0809252B1 (en) * 1992-09-18 2003-11-26 Hitachi, Ltd. Data processing system with synchronous dynamic memory in integrated circuit technology
US5754764A (en) * 1994-02-22 1998-05-19 National Semiconductor Corp. Combination of input output circuitry and local area network systems
GB9411602D0 (en) * 1994-06-09 1994-08-03 Inmos Ltd Pulse generation
EP0687986A3 (en) * 1994-06-17 1996-02-14 Ibm Method and apparatus for transmitting digital data in massively parallel systems
US5655113A (en) * 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
US5796673A (en) 1994-10-06 1998-08-18 Mosaid Technologies Incorporated Delay locked loop implementation in a synchronous dynamic random access memory
JPH08278916A (ja) * 1994-11-30 1996-10-22 Hitachi Ltd マルチチャネルメモリシステム、転送情報同期化方法及び信号転送回路
US5550875A (en) * 1994-12-29 1996-08-27 Unisys Corporation Apparatus and method for residual error clock skew bound, and clocking therewith
US5822381A (en) * 1995-05-05 1998-10-13 Silicon Graphics, Inc. Distributed global clock system
KR970002691A (ko) * 1995-06-07 1997-01-28 고속 시스템에 있어서, 클럭 스큐를 최소화하고 리타임 마진을 극대화 하기 위한 장치
US5683391A (en) * 1995-06-07 1997-11-04 Danek Medical, Inc. Anterior spinal instrumentation and method for implantation and revision
US5652530A (en) * 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for reducing clock-data skew by clock shifting
US6470405B2 (en) * 1995-10-19 2002-10-22 Rambus Inc. Protocol for communication with dynamic memory
US5896055A (en) * 1995-11-30 1999-04-20 Matsushita Electronic Industrial Co., Ltd. Clock distribution circuit with clock branch circuits connected to outgoing and return lines and outputting synchronized clock signals by summing time integrals of clock signals on the outgoing and return lines
US5712882A (en) * 1996-01-03 1998-01-27 Credence Systems Corporation Signal distribution system
US5734685A (en) * 1996-01-03 1998-03-31 Credence Systems Corporation Clock signal deskewing system
JP2806863B2 (ja) * 1996-02-27 1998-09-30 日本電気エンジニアリング株式会社 ビット同期回路
US5734617A (en) * 1996-08-01 1998-03-31 Micron Technology Corporation Shared pull-up and selection circuitry for programmable cells such as antifuse cells
US5872736A (en) * 1996-10-28 1999-02-16 Micron Technology, Inc. High speed input buffer
US5917758A (en) 1996-11-04 1999-06-29 Micron Technology, Inc. Adjustable output driver circuit
JPH10143424A (ja) * 1996-11-13 1998-05-29 Mitsubishi Electric Corp メモリシステム
US5949254A (en) * 1996-11-26 1999-09-07 Micron Technology, Inc. Adjustable output driver circuit
US6115318A (en) * 1996-12-03 2000-09-05 Micron Technology, Inc. Clock vernier adjustment
US5923611A (en) * 1996-12-20 1999-07-13 Micron Technology, Inc. Memory having a plurality of external clock signal inputs
US5838177A (en) * 1997-01-06 1998-11-17 Micron Technology, Inc. Adjustable output driver circuit having parallel pull-up and pull-down elements
US6104209A (en) * 1998-08-27 2000-08-15 Micron Technology, Inc. Low skew differential receiver with disable feature
US5940608A (en) * 1997-02-11 1999-08-17 Micron Technology, Inc. Method and apparatus for generating an internal clock signal that is synchronized to an external clock signal
US6912680B1 (en) 1997-02-11 2005-06-28 Micron Technology, Inc. Memory system with dynamic timing correction
US5920518A (en) * 1997-02-11 1999-07-06 Micron Technology, Inc. Synchronous clock generator including delay-locked loop
US5953276A (en) * 1997-12-18 1999-09-14 Micron Technology, Inc. Fully-differential amplifier
US5987576A (en) * 1997-02-27 1999-11-16 Hewlett-Packard Company Method and apparatus for generating and distributing clock signals with minimal skew
US5946244A (en) 1997-03-05 1999-08-31 Micron Technology, Inc. Delay-locked loop with binary-coupled capacitor
US6209072B1 (en) * 1997-05-06 2001-03-27 Intel Corporation Source synchronous interface between master and slave using a deskew latch
US6266379B1 (en) * 1997-06-20 2001-07-24 Massachusetts Institute Of Technology Digital transmitter with equalization
US6173432B1 (en) 1997-06-20 2001-01-09 Micron Technology, Inc. Method and apparatus for generating a sequence of clock signals
US5953284A (en) * 1997-07-09 1999-09-14 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing of a clock signal used to latch digital signals, and memory device using same
US6044121A (en) * 1997-07-22 2000-03-28 Cabletron Systems, Inc. Method and apparatus for recovery of time skewed data on a parallel bus
US6163459A (en) * 1997-07-25 2000-12-19 Matsushita Electric Industrial Co., Ltd. Semiconductor mounting system and semiconductor chip
US6011732A (en) * 1997-08-20 2000-01-04 Micron Technology, Inc. Synchronous clock generator including a compound delay-locked loop
US5926047A (en) * 1997-08-29 1999-07-20 Micron Technology, Inc. Synchronous clock generator including a delay-locked loop signal loss detector
US6101197A (en) * 1997-09-18 2000-08-08 Micron Technology, Inc. Method and apparatus for adjusting the timing of signals over fine and coarse ranges
US6067594A (en) * 1997-09-26 2000-05-23 Rambus, Inc. High frequency bus system
US5966417A (en) * 1997-10-02 1999-10-12 International Business Machines Corporation Cycle alignment circuit for multicycle time systems
DE69811262T2 (de) 1997-10-10 2003-11-27 Rambus Inc Verfahren und vorrichtung zur ausfallsicheren resynchronisation mit minimaler latenzzeit
US6330627B1 (en) * 1998-01-20 2001-12-11 Kabushiki Kaisha Toshiba System for fast data transfer between memory modules and controller using two clock lines each having a go line portion and a return line portion
US6269451B1 (en) 1998-02-27 2001-07-31 Micron Technology, Inc. Method and apparatus for adjusting data timing by delaying clock signal
US6212482B1 (en) 1998-03-06 2001-04-03 Micron Technology, Inc. Circuit and method for specifying performance parameters in integrated circuits
US6154821A (en) * 1998-03-10 2000-11-28 Rambus Inc. Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain
US6327205B1 (en) 1998-03-16 2001-12-04 Jazio, Inc. Signal latching of high bandwidth DRAM arrays when skew between different components is higher than signal rate
US6160423A (en) 1998-03-16 2000-12-12 Jazio, Inc. High speed source synchronous signaling for interfacing VLSI CMOS circuits to transmission lines
JP3960752B2 (ja) 1998-03-16 2007-08-15 ジャズィオ・インコーポレーテッド Vlsi(超大規模集積)cmos(相補形金属酸化膜半導体)回路をインタフェースする高速信号
US6466072B1 (en) 1998-03-30 2002-10-15 Cypress Semiconductor Corp. Integrated circuitry for display generation
US6016282A (en) * 1998-05-28 2000-01-18 Micron Technology, Inc. Clock vernier adjustment
US6453377B1 (en) * 1998-06-16 2002-09-17 Micron Technology, Inc. Computer including optical interconnect, memory unit, and method of assembling a computer
US6480498B1 (en) * 1998-07-01 2002-11-12 National Semiconductor Corporation High speed network switch bus clock
US6338127B1 (en) 1998-08-28 2002-01-08 Micron Technology, Inc. Method and apparatus for resynchronizing a plurality of clock signals used to latch respective digital signals, and memory device using same
US6586835B1 (en) * 1998-08-31 2003-07-01 Micron Technology, Inc. Compact system module with built-in thermoelectric cooling
US6392296B1 (en) 1998-08-31 2002-05-21 Micron Technology, Inc. Silicon interposer with optical connections
US6424034B1 (en) 1998-08-31 2002-07-23 Micron Technology, Inc. High performance packaging for microprocessors and DRAM chips which minimizes timing skews
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6219237B1 (en) 1998-08-31 2001-04-17 Micron Technology, Inc. Structure and method for an electronic assembly
US6279090B1 (en) 1998-09-03 2001-08-21 Micron Technology, Inc. Method and apparatus for resynchronizing a plurality of clock signals used in latching respective digital signals applied to a packetized memory device
US6349399B1 (en) 1998-09-03 2002-02-19 Micron Technology, Inc. Method and apparatus for generating expect data from a captured bit pattern, and memory device using same
US6029250A (en) * 1998-09-09 2000-02-22 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing offset between a clock signal and digital signals transmitted coincident with that clock signal, and memory device and system using same
US6430696B1 (en) 1998-11-30 2002-08-06 Micron Technology, Inc. Method and apparatus for high speed data capture utilizing bit-to-bit timing correction, and memory device using same
US6374360B1 (en) 1998-12-11 2002-04-16 Micron Technology, Inc. Method and apparatus for bit-to-bit timing correction of a high speed memory bus
KR100284741B1 (ko) * 1998-12-18 2001-03-15 윤종용 로컬클럭 신호 발생회로 및 방법, 내부클럭신호 발생회로 및방법,이를 이용한 반도체 메모리 장치
US6255852B1 (en) 1999-02-09 2001-07-03 Micron Technology, Inc. Current mode signal interconnects and CMOS amplifier
US6470060B1 (en) 1999-03-01 2002-10-22 Micron Technology, Inc. Method and apparatus for generating a phase dependent control signal
US6334163B1 (en) * 1999-03-05 2001-12-25 International Business Machines Corp. Elastic interface apparatus and method therefor
US6426984B1 (en) * 1999-05-07 2002-07-30 Rambus Incorporated Apparatus and method for reducing clock signal phase skew in a master-slave system with multiple latent clock cycles
US6839393B1 (en) 1999-07-14 2005-01-04 Rambus Inc. Apparatus and method for controlling a master/slave system via master device synchronization
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6529571B1 (en) * 1999-09-28 2003-03-04 National Semiconductor Corporation Method and apparatus for equalizing propagation delay
US6646953B1 (en) * 2000-07-06 2003-11-11 Rambus Inc. Single-clock, strobeless signaling system
US6643787B1 (en) * 1999-10-19 2003-11-04 Rambus Inc. Bus system optimization
US6661859B1 (en) * 1999-11-29 2003-12-09 International Business Machines Corporation Synchronizer for a source synchronized clock bus with multiple agents
US6647506B1 (en) 1999-11-30 2003-11-11 Integrated Memory Logic, Inc. Universal synchronization clock signal derived using single forward and reverse direction clock signals even when phase delay between both signals is greater than one cycle
US6799280B1 (en) * 2000-01-04 2004-09-28 Advanced Micro Devices, Inc. System and method for synchronizing data transfer from one domain to another by selecting output data from either a first or second storage device
US7363422B2 (en) * 2000-01-05 2008-04-22 Rambus Inc. Configurable width buffered module
US7010642B2 (en) * 2000-01-05 2006-03-07 Rambus Inc. System featuring a controller device and a memory module that includes an integrated circuit buffer device and a plurality of integrated circuit memory devices
US6987823B1 (en) * 2000-02-07 2006-01-17 Rambus Inc. System and method for aligning internal transmit and receive clocks
US6384637B1 (en) 2000-06-06 2002-05-07 Rambus Differential amplifier with selectable hysteresis and buffered filter
US6791555B1 (en) * 2000-06-23 2004-09-14 Micron Technology, Inc. Apparatus and method for distributed memory control in a graphics processing system
US6968024B1 (en) * 2000-08-01 2005-11-22 Rambus Inc. Apparatus and method for operating a master-slave system with a clock signal and a separate phase signal
US6469555B1 (en) * 2000-08-18 2002-10-22 Rambus, Inc Apparatus and method for generating multiple clock signals from a single loop circuit
US6898726B1 (en) 2000-11-15 2005-05-24 Micron Technology, Inc. Memory system that sets a predetermined phase relationship between read and write clock signals at a bus midpoint for a plurality of spaced device locations
DE10059758A1 (de) * 2000-11-30 2002-06-20 Bosch Gmbh Robert Verfahren zum Empfangen von Daten
US6832325B2 (en) * 2000-12-29 2004-12-14 Intel Corporation Device on a source synchronous bus sending data in quadrature phase relationship and receiving data in phase with the bus clock signal
US7313715B2 (en) * 2001-02-09 2007-12-25 Samsung Electronics Co., Ltd. Memory system having stub bus configuration
US7123660B2 (en) * 2001-02-27 2006-10-17 Jazio, Inc. Method and system for deskewing parallel bus channels to increase data transfer rates
US6801989B2 (en) 2001-06-28 2004-10-05 Micron Technology, Inc. Method and system for adjusting the timing offset between a clock signal and respective digital signals transmitted along with that clock signal, and memory device and computer system using same
US6877054B2 (en) * 2001-07-16 2005-04-05 Rambus Inc. Method and apparatus for position dependent data scheduling
US7941056B2 (en) 2001-08-30 2011-05-10 Micron Technology, Inc. Optical interconnect in high-speed memory systems
DE10148878B4 (de) * 2001-10-04 2006-03-02 Siemens Ag System und Verfahren zum Übertragen digitaler Daten
US20030101312A1 (en) * 2001-11-26 2003-05-29 Doan Trung T. Machine state storage apparatus and method
US7101770B2 (en) * 2002-01-30 2006-09-05 Micron Technology, Inc. Capacitive techniques to reduce noise in high speed interconnections
US7235457B2 (en) 2002-03-13 2007-06-26 Micron Technology, Inc. High permeability layered films to reduce noise in high speed interconnects
US7359468B2 (en) * 2002-05-17 2008-04-15 Broadcom Corporation Apparatus for synchronizing clock and data between two domains having unknown but coherent phase
US7133972B2 (en) 2002-06-07 2006-11-07 Micron Technology, Inc. Memory hub with internal cache and/or memory access prediction
US7200024B2 (en) 2002-08-02 2007-04-03 Micron Technology, Inc. System and method for optically interconnecting memory devices
US7117316B2 (en) 2002-08-05 2006-10-03 Micron Technology, Inc. Memory hub and access method having internal row caching
US7254331B2 (en) 2002-08-09 2007-08-07 Micron Technology, Inc. System and method for multiple bit optical data transmission in memory systems
US7149874B2 (en) 2002-08-16 2006-12-12 Micron Technology, Inc. Memory hub bypass circuit and method
US7836252B2 (en) 2002-08-29 2010-11-16 Micron Technology, Inc. System and method for optimizing interconnections of memory devices in a multichip module
US6820181B2 (en) * 2002-08-29 2004-11-16 Micron Technology, Inc. Method and system for controlling memory accesses to memory modules having a memory hub architecture
US7102907B2 (en) * 2002-09-09 2006-09-05 Micron Technology, Inc. Wavelength division multiplexed memory module, memory system and method
JP2004127147A (ja) * 2002-10-07 2004-04-22 Hitachi Ltd デスキュー回路およびそれを用いたディスクアレイ制御装置
US7231009B2 (en) * 2003-02-19 2007-06-12 Silicon Image, Inc. Data synchronization across an asynchronous boundary using, for example, multi-phase clocks
US7313210B2 (en) * 2003-02-28 2007-12-25 Hewlett-Packard Development Company, L.P. System and method for establishing a known timing relationship between two clock signals
US7245145B2 (en) 2003-06-11 2007-07-17 Micron Technology, Inc. Memory module and method having improved signal routing topology
US7168027B2 (en) 2003-06-12 2007-01-23 Micron Technology, Inc. Dynamic synchronization of data capture on an optical or other high speed communications link
US7120727B2 (en) * 2003-06-19 2006-10-10 Micron Technology, Inc. Reconfigurable memory module and method
US7260685B2 (en) 2003-06-20 2007-08-21 Micron Technology, Inc. Memory hub and access method having internal prefetch buffers
US7428644B2 (en) 2003-06-20 2008-09-23 Micron Technology, Inc. System and method for selective memory module power management
US7107415B2 (en) 2003-06-20 2006-09-12 Micron Technology, Inc. Posted write buffers and methods of posting write requests in memory modules
DE10330593B4 (de) * 2003-07-07 2010-11-04 Qimonda Ag Integrierter Taktversorgungsbaustein für ein Speichermodul, Speichermodul, welches den integrierten Taktversorgungsbaustein umfasst, sowie Verfahren zum Betreiben des Speichermoduls unter Testbedingungen
US7389364B2 (en) 2003-07-22 2008-06-17 Micron Technology, Inc. Apparatus and method for direct memory access in a hub-based memory system
US7210059B2 (en) 2003-08-19 2007-04-24 Micron Technology, Inc. System and method for on-board diagnostics of memory modules
US7133991B2 (en) 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7136958B2 (en) * 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US20050050237A1 (en) * 2003-08-28 2005-03-03 Jeddeloh Joseph M. Memory module and method having on-board data search capabilities and processor-based system using such memory modules
US7310752B2 (en) * 2003-09-12 2007-12-18 Micron Technology, Inc. System and method for on-board timing margin testing of memory modules
US7194593B2 (en) 2003-09-18 2007-03-20 Micron Technology, Inc. Memory hub with integrated non-volatile memory
US7120743B2 (en) 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
JP4141373B2 (ja) * 2003-11-05 2008-08-27 株式会社日立製作所 通信システム、リアルタイム制御装置及び情報処理システム
JP2005150154A (ja) 2003-11-11 2005-06-09 Sharp Corp 半導体モジュールとその実装方法
US7330992B2 (en) * 2003-12-29 2008-02-12 Micron Technology, Inc. System and method for read synchronization of memory modules
US7188219B2 (en) 2004-01-30 2007-03-06 Micron Technology, Inc. Buffer control system and method for a memory system having outstanding read and write request buffers
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US7181584B2 (en) * 2004-02-05 2007-02-20 Micron Technology, Inc. Dynamic command and/or address mirroring system and method for memory modules
US7412574B2 (en) 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
US7366864B2 (en) 2004-03-08 2008-04-29 Micron Technology, Inc. Memory hub architecture having programmable lane widths
US7257683B2 (en) 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7120723B2 (en) 2004-03-25 2006-10-10 Micron Technology, Inc. System and method for memory hub-based expansion bus
US7213082B2 (en) 2004-03-29 2007-05-01 Micron Technology, Inc. Memory hub and method for providing memory sequencing hints
US7447240B2 (en) 2004-03-29 2008-11-04 Micron Technology, Inc. Method and system for synchronizing communications links in a hub-based memory system
US6980042B2 (en) 2004-04-05 2005-12-27 Micron Technology, Inc. Delay line synchronizer apparatus and method
US7590797B2 (en) 2004-04-08 2009-09-15 Micron Technology, Inc. System and method for optimizing interconnections of components in a multichip memory module
US7162567B2 (en) 2004-05-14 2007-01-09 Micron Technology, Inc. Memory hub and method for memory sequencing
US7222213B2 (en) 2004-05-17 2007-05-22 Micron Technology, Inc. System and method for communicating the synchronization status of memory modules during initialization of the memory modules
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US7519788B2 (en) 2004-06-04 2009-04-14 Micron Technology, Inc. System and method for an asynchronous data buffer having buffer write and read pointers
US7310748B2 (en) 2004-06-04 2007-12-18 Micron Technology, Inc. Memory hub tester interface and method for use thereof
US7392331B2 (en) 2004-08-31 2008-06-24 Micron Technology, Inc. System and method for transmitting data packets in a computer system having a memory hub architecture
US7324403B2 (en) * 2004-09-24 2008-01-29 Intel Corporation Latency normalization by balancing early and late clocks
US20060168407A1 (en) * 2005-01-26 2006-07-27 Micron Technology, Inc. Memory hub system and method having large virtual page size
US7512201B2 (en) * 2005-06-14 2009-03-31 International Business Machines Corporation Multi-channel synchronization architecture
US7509515B2 (en) * 2005-09-19 2009-03-24 Ati Technologies, Inc. Method and system for communicated client phase information during an idle period of a data bus
US11328764B2 (en) 2005-09-26 2022-05-10 Rambus Inc. Memory system topologies including a memory die stack
US7562271B2 (en) 2005-09-26 2009-07-14 Rambus Inc. Memory system topologies including a buffer device and an integrated circuit memory device
US7464225B2 (en) 2005-09-26 2008-12-09 Rambus Inc. Memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
US7738307B2 (en) * 2005-09-29 2010-06-15 Hynix Semiconductor, Inc. Data transmission device in semiconductor memory device
US7321524B2 (en) * 2005-10-17 2008-01-22 Rambus Inc. Memory controller with staggered request signal output
CN103209154B (zh) 2007-07-20 2016-12-28 蓝色多瑙河系统公司 利用相位同步本地载波产生多点信号的方法和系统
WO2009099788A2 (en) * 2008-02-05 2009-08-13 Rambus Inc. Multi-drop signaling system and method employing source-termination
US7961533B2 (en) * 2008-05-27 2011-06-14 Advanced Micro Devices, Inc. Method and apparatus for implementing write levelization in memory subsystems
US7928773B2 (en) * 2008-07-09 2011-04-19 Integrated Device Technology, Inc Multiple frequency synchronized phase clock generator
US9342471B2 (en) * 2010-01-29 2016-05-17 Mosys, Inc. High utilization multi-partitioned serial memory
US9535865B2 (en) 2011-12-22 2017-01-03 Intel Corporation Interconnection of multiple chips in a package
US9460803B1 (en) * 2015-09-25 2016-10-04 Micron Technology, Inc. Data path with clock-data tracking
US10410698B2 (en) * 2017-12-07 2019-09-10 Micron Technology, Inc. Skew reduction of a wave pipeline in a memory device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4247817A (en) * 1978-05-15 1981-01-27 Teradyne, Inc. Transmitting electrical signals with a transmission time independent of distance between transmitter and receiver
US4811202A (en) * 1981-10-01 1989-03-07 Texas Instruments Incorporated Quadruply extended time multiplexed information bus for reducing the `pin out` configuration of a semiconductor chip package
US4481625A (en) * 1981-10-21 1984-11-06 Elxsi High speed data bus system
US4519034A (en) * 1982-06-30 1985-05-21 Elxsi I/O Bus clock
ZA857137B (en) * 1984-09-21 1986-04-30 Int Computers Ltd Data transfer system
JPS61175845A (ja) * 1985-01-31 1986-08-07 Toshiba Corp マイクロプロセツサシステム
US4785394A (en) * 1986-09-19 1988-11-15 Datapoint Corporation Fair arbitration technique for a split transaction bus in a multiprocessor computer system
US4943984A (en) * 1988-06-24 1990-07-24 International Business Machines Corporation Data processing system parallel data bus having a single oscillator clocking apparatus
US4949361A (en) * 1989-06-26 1990-08-14 Tektronix, Inc. Digital data transfer synchronization circuit and method
AU6417990A (en) * 1989-08-24 1991-04-03 E.I. Du Pont De Nemours And Company Immunoassay to detect pseudocercosporella antigen in cereal plants
US4998262A (en) * 1989-10-10 1991-03-05 Hewlett-Packard Company Generation of topology independent reference signals
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021043870A (ja) * 2019-09-13 2021-03-18 キオクシア株式会社 半導体記憶装置、及びストレージデバイス

Also Published As

Publication number Publication date
JP4219949B2 (ja) 2009-02-04
JP3517237B2 (ja) 2004-04-12
DE4345604B3 (de) 2012-07-12
WO1993018463A1 (en) 1993-09-16
US5432823A (en) 1995-07-11
JP2004079157A (ja) 2004-03-11
JPH07506920A (ja) 1995-07-27
DE4390991T1 (de) 1995-02-23
JP4073836B2 (ja) 2008-04-09

Similar Documents

Publication Publication Date Title
JP4073836B2 (ja) 同期メモリ装置
US20210027826A1 (en) Methods and apparatus for synchronizing communication with a memory controller
KR100442870B1 (ko) 스터브 버스 구조를 갖는 메모리 시스템
JP4001670B2 (ja) クロック信号分配方法
US6469555B1 (en) Apparatus and method for generating multiple clock signals from a single loop circuit
KR100448033B1 (ko) 캘리브레이션 방법 및 메모리 시스템
US6898726B1 (en) Memory system that sets a predetermined phase relationship between read and write clock signals at a bus midpoint for a plurality of spaced device locations
US20020144171A1 (en) Multiple clock domain de-skewing technique
JP3413894B2 (ja) シリアル伝送装置
KR100222041B1 (ko) 신호 처리 장치
JPH11312116A (ja) シンクロナス・ダイナミックランダムアクセスメモリ用同期装置
JP2001274849A (ja) インターフェイス回路

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061102

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061102

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081029

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081112

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121121

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131121

Year of fee payment: 5

EXPY Cancellation because of completion of term