JP2006510223A - 基板の面曲がりを使用する倍率補正 - Google Patents

基板の面曲がりを使用する倍率補正 Download PDF

Info

Publication number
JP2006510223A
JP2006510223A JP2004560778A JP2004560778A JP2006510223A JP 2006510223 A JP2006510223 A JP 2006510223A JP 2004560778 A JP2004560778 A JP 2004560778A JP 2004560778 A JP2004560778 A JP 2004560778A JP 2006510223 A JP2006510223 A JP 2006510223A
Authority
JP
Japan
Prior art keywords
mold
curvature
radius
wafer
bending
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004560778A
Other languages
English (en)
Other versions
JP4563181B2 (ja
JP2006510223A5 (ja
Inventor
ニマカヤラ,ポーウァン・ケイ
スリニーヴァッサン,シトルガタ・ヴイ
チョイ,ビュン−ジン
チェララ,アンシュマン
Original Assignee
モレキュラー・インプリンツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by モレキュラー・インプリンツ・インコーポレーテッド filed Critical モレキュラー・インプリンツ・インコーポレーテッド
Publication of JP2006510223A publication Critical patent/JP2006510223A/ja
Publication of JP2006510223A5 publication Critical patent/JP2006510223A5/ja
Application granted granted Critical
Publication of JP4563181B2 publication Critical patent/JP4563181B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/02Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

Abstract

インプリント・リソグラフィを使用して形成されるパターン内の寸法変化を制御する方法は、液体材料を堆積させることによってウエハ上に記録されたパターンを作製する領域を決めるステップ(100)と、ウエハを曲げることによって領域内に輪郭が形成された表面を作製するステップ(102)と、テンプレート上のモールドを液体材料と接触させることによってウエハ上の領域をテンプレートと接触させるステップ(104)と、テンプレートを曲げることによってモールド上の原パターン内に寸法変化を生じさせるステップ(106)と、モールドによって作られたパターンを記録するために液体材料を凝固させるステップ(108)と、凝固した液体からモールドを分離し、ウエハをニュートラル(すなわち、曲がっていない)状態へ戻すステップ(110)とを含む。

Description

関連出願
本願は、参照により本明細書に組み込まれる、2002年12月13日出願の「Method and System for Magnification and Distortion Control for Layer−to−Layer Alignment in Imprint Lithography」という名称の米国仮特許出願第60/433,477号の優先権を主張するものである。
本発明の分野は、一般にリソグラフィ・システムに関する。より詳細には、本発明は、記録されたパターンと原パターンの相対寸法をリソグラフィ・プロセス中に制御することを目的とする。
リソグラフィ・プロセス中のパターン・サイズの拡大と縮小には、大きな関心が寄せられている。Feldman等による「WAFER CHUCK FOR MAGNIFICATION CORRECTION IN X−RAY LITHOGRAPHY」(J.Vac.Sci.Technol.B 16 (6)、1998年11/12月、3476〜3479頁)で述べられているように、倍率を補正する一方法は、一部分が調整可能な半径の球体であるチャック表面に一致させるためにウエハを曲げることである。その結果、パターン・サイズの増大と減少がどちらも、凸凹球面を使用することによって対応することができる。
2001年7月16日出願の「HIGH RESOLUTION OVERLAY ALIGNMENT METHODS & SYSTEM FOR IMPRINT LITHOGRAPHY」という名称の米国特許出願第09/907,512号は、インプリント・リソグラフィ・プロセス中の倍率誤差の補正を開示している。具体的には、使用中にテンプレートを保持するように構成された支持体に結合させることができるテンプレート調整装置が開示されている。使用中にテンプレートのサイズを変えるように、このテンプレート調整装置を構成することができる。テンプレートへ力を加える、またはテンプレートの温度を変えることよってこれを達成することができる。同様に、その代わりにまたはそれと併せて、基板の寸法も変えることができる。このように、倍率誤差の補償を達成することができ、それにより、層にパターン形成するために使用するテンプレートまたはフォトリソグラフィ・マスクを、基板上に配置された既存のパターン層と適正に調整することができる。
したがって、モールド上に配置された原パターンと基板上の記録されたパターンの相対的な寸法サイズについて改良された制御を提供する必要性が存在する。
本発明は、モールド内に存在する原パターンと基板の層内に存在する記録されたパターンとの間の寸法の相違/相似を制御する方法を目的とする。この方法では、原パターンと比較した場合に、記録されたパターンのサイズが拡大および/または縮小したように見えることがある。そのために、この方法は、記録されたパターンを作製する領域を層上に決めることを含む。基板を曲げて、輪郭が形成された基板表面(contoured substrate surface)をこの領域内に作製する。モールドを曲げることによって原パターン内に寸法変化が生じ、変化したパターンが形成される。同様の曲率半径を有する輪郭が形成された表面とモールドが形成される。次いで、変化したパターンが層内に記録される。以下、本発明のこれらの実施形態およびその他の実施形態をより詳細に説明する。
図1は、間隔をあけて配置された一対のブリッジ支持体12を含む本発明の一実施形態によるリソグラフィ・システム10を表しており、一対のブリッジ支持体12は、ブリッジ14と、それらの間に延びているステージ支持体16とを有する。ブリッジ14とステージ支持体16は間隔をあけて配置され、一般に熱的に安定した材料、例えば約10ppm/室温の摂氏温度(例えば、摂氏25度)未満の熱膨張率を有する材料で形成されている。そのために、ブリッジ支持体12、ブリッジ14、および/またはステージ支持体16を、1つまたは複数の以下の材料から製作することができる。炭化シリコン、インバール(登録商標)またはスーパー・インバール(商標)という商品名で入手可能な鉄合金、ゼロデュアー(登録商標)セラミックを含むがこれに限定されないセラミック。ブリッジ14に結合されているのはインプリント・ヘッド18であり、これは、ブリッジ14からステージ支持体16の方へ延びている。インプリント・ヘッド18に面してステージ支持体16上に配置されているのは、移動ステージ20である。移動ステージ20は、ステージ支持体16に対してX軸、Y軸に沿って移動するように構成されているが、Z軸に沿っても同様に移動できる。移動ステージ20上に化学線を当てるために、放射線源22がシステム10に結合されている。図示のように、放射線源22は、ブリッジ14に結合され、放射線源22に接続された発電機23を含む。システム10の構成要素は、システム10の構成要素を周囲環境の振動から隔離するように構成されているテーブル24に支持されている。典型的なテーブル24は、カリフォルニア州アーバインにあるニューポート社から入手可能である。
図1、図2の両図を参照する。インプリント・ヘッド18に、モールド28を有するテンプレート26が結合されている。モールド28は、間隔をあけて配置された複数の凹所28aと凸所28bによって形成された複数のフィーチャを含む。この複数のフィーチャは、移動ステージ20上に配置されるウエハ30へ転写される原パターンである。そのために、インプリント・ヘッド18は、モールド28とウエハ30の間で距離「d」を変えるためにZ軸に沿って移動できるようになっているが、X軸とY軸に沿っても同様に移動することができる。このようにして、ウエハ30の流動可能な領域へモールド28上のフィーチャをインプリントすることができる。これについては、より詳細に後述する。モールド28が放射線源22とウエハ30の間に位置するように放射線源22を配置する。結果として、モールド28をは放射線源22によって生成される放射線に対してほぼ透過的な材料から製作されている。
図2、図3の両図を参照する。インプリント層34などの流動可能な領域は、実質的に平面のプロファイルを示す表面32の一部分である。参照により本明細書にその全体が組み込まれる米国特許第5,772,905号に開示されているホット・エンボス加工、またはChou等によって「Ultrafast and Direct Imprint of Nanostructures in Silicon」(Nature、Col.417、835〜837頁、2002年6月)で説明されているタイプのレーザ支援直接インプリント(LADI)加工などの任意の周知の技法を使用して流動可能な領域を形成することができる。ただし、本実施形態では、流動可能な領域は、間隔をあけて配置された複数の離散的な材料36aのビード36としてウエハ30上に堆積させたインプリント層34で構成される。これについては、より詳細に後述する。選択的に重合および架橋させて原パターンを記録することができる材料36aでインプリント層34を形成し、それにより記録されたパターンを形成する。位置36bで架橋させ、それにより架橋重合体材料36cを形成しているように材料36aを図4に示す。
図2、図3、図5を参照すると、インプリント層34内に記録されたパターンは、1つには、モールド28との機械的接触によって作製される。そのために、インプリント・ヘッド18は距離「d」を縮め、インプリント層34をモールド28に機械的に接触させ、それによりビード36を広げ、その結果、表面32上に材料36aの連続的な形となったインプリント層34を形成する。一実施形態では、距離「d」を縮めて、インプリント層34の一部34aを凹所28a内へ進入させ、そこに充填させる。
凹所28aを充填するのを容易にするために、材料36aには、表面32を材料36aの連続的な構成物で覆うと共に凹所28aを完全に充填するために必要な特性が備わっている。本実施形態では、所望の、通常は最小の距離「d」に達した後には、凸所28bと重なったインプリント層34の一部34bが残り、その結果、厚さt1を有する一部34aと厚さt2を有する一部34bとが残される。厚さ「t1」と「t2」は、用途に応じて任意の所望の厚さにすることができる。例えば、図5により明瞭に示すように、一部34aの幅uの2倍よりも大きくならないようにt1を選択することができ(すなわち、t1≦2u)、または|(t1−t2)|≦2uとなるように厚さt1およびt2を設定することができる。
図2、図3、図4を参照すると、所望の距離「d」に達した後、放射線源22は、材料36aを重合させ、架橋させて架橋重合体材料36cを形成する化学線を発生させる。そのために、例示の放射線源22は、紫外放射線を発生させることができるが、熱放射線源、電磁放射線源等のその他の放射線源を使用することもできる。インプリント層34内で材料の重合を引き起こさせるために使用される放射線の選択は、当業者には周知であり、通常は所望の特定の用途に依存する。化学線への露光の結果として、インプリント層34の組成は、材料36aから固体である材料36cへと変化する。具体的には、図5により明瞭に示すように、材料36cは凝固して、インプリント層34の面34cにモールド28の表面28cの形状と一致する形状を形成する。インプリント層34が変化して図4に示した材料36cで構成されるようになった後、図2に示したように、インプリント・ヘッド18を移動させて距離「d」を広げ、その結果モールド28とインプリント層34の間隔が開く。ウエハ30のパターン形成を完成するために追加の加工を使用することができる。例えば、ウエハ30とインプリント層34をエッチングしてインプリント層34のパターンをウエハ30へ転写し、それにより、図6に示すパターン形成された表面32aを形成することができる。
インプリント層34へ記録されたパターン内の歪みを防止するために、モールド28は、パターンが記録されることになっているウエハ30の領域の寸法と同等の寸法を有することが望ましい。具体的には、インプリント層34へ記録されたパターン内の歪みは、とりわけインプリント層34とウエハ30の寸法変化に起因する。これらの寸法変化は、部部的には熱変動によるものであり、また倍率エラー/ランアウト・エラーと一般に呼ばれるものを生じさせる、それより前の処理段階の不正確さによるものであることもある。原パターンが記録されることになっているウエハ30の領域が原パターンの範囲よりも大きい場合に、倍率エラー/ランアウト・エラーが発生する。さらに、原パターンが記録されることになっているウエハ30の領域が原パターンよりも小さい範囲の場合も、倍率エラー/ランアウト・エラーが発生することがある。パターン形成された表面32aに重なったインプリント層124として図6に示すインプリント・パターンの複数の層を形成する場合、倍率エラー/ランアウト・エラーの有害な作用が悪化する。倍率エラー/ランアウト・エラーがあると、重なり合った2つのパターン間の適正な位置合わせは、単一ステップ・フル・ウエハ・インプリント(single-step full wafer imprinting)加工と、ステップ・アンド・リピート・インプリント(step-and-repeat imprinting)加工のどちらにおいても困難である。
図7、図8を参照すると、ステップ・アンド・リピート加工は、モールド28上の原パターンが記録されるウエハ30上にa〜lで示す複数の領域を決めることを含む。モールド28の原パターンは、モールド28の表面全体と同一の広がりを有してもよく、単にその一部に位置しているだけでもよい。ウエハ30を向くモールド28の表面と同一の広がりを有する原パターンに関して、本発明を論じることとする。ステップ・アンド・リピート加工の適正な実施には、モールド28をa〜lの各領域と適正に位置合わせすることを含む。そのために、モールド28は、「+」印として示す位置合わせマーク114aを含む。1つまたは複数の領域a〜lは基準マーク110aを含む。位置合わせマーク114aを基準マーク110aと適正に位置合わせすることによって、モールド28を、それが重なっている領域a〜lの1つと適正に位置合わせさせることができる。そのために、機械観察装置(machine vision device)(図示せず)を位置合わせマーク114aと基準マーク110aの間の相対的な位置合わせを感知するために使用することができる。この例では、位置合わせマーク114aが基準マーク110aと重なることによって位置合わせが適正であることが示される。倍率エラー/ランアウト・エラーが生じると、適正な位置合わせが非常に困難になる。
しかし、本発明の一実施形態によれば、倍率エラー/ランアウト・エラーが回避されない場合に、モールド28とウエハ30の間に相対的な寸法変化を生じさせることによって、それらが低減される。このようにして、原パターンの範囲を、それが重なっている領域a〜lの範囲と同一の広がりを有するようにする。
本発明は、倍率エラー/ランアウト・エラーが排除されない場合に、原パターンと原パターンが記録されることになっているウエハの領域の相対寸法を制御することによってそれらを低減する。具体的には、本発明は、モールド28内に存在する原パターンとウエハ30に形成される記録パターンの寸法の関係を制御できるようにする。このようにして、記録パターンのサイズは、原パターンと比較して拡大および/または縮小して現れてもよい。原パターンと記録されたパターンのサイズが等しくなるように、これを達成することもできる。
図9を参照すると、モールド28とウエハ30をニュートラル(すなわち、曲がっていない)でない状態へ曲げることによって原パターンと記録パターンの間の相対寸法を制御することができる。表面32のエリアAは、以下のように決められる。
1.A=Φr
上式で、rは表面32が曲げられた球体の半径、Φは表面32が曲げられた角度である。エリアdAの変化は、以下の通りに定めることができることがわかる。
2.dA=Φdr
したがって、エリアAの変化は、最終的に以下の通りに定めることができる。
3.dA=As/2r
上式で、sは、表面32と面33の間で測定されたウエハ30の厚さである。したがって、表面32を凹形状にすると、エリアAが減少、縮小する。反対に、表面32を凸形状にすると、エリアAが増大、拡大する。同様に、テンプレート26を曲げると、式1〜3に従ってモールド28の寸法が変化し、したがって、モールド28上のパターンを拡大または縮小することができる。
図4、図9を参照すると、前で説明した概念を使用して、テンプレート26とウエハ30の両方を曲げることによって倍率エラー/ランアウト・エラーの補償を達成する。このようにして、モールド28上のパターンと原パターンが記録されることになっているウエハ30上の領域の間の相対的な寸法変化の所望の量を得ることが可能である。例えば、モールド28上の原パターンを拡大するためには、表面28cが凸形状を形成するようにテンプレート26を曲げる。ウエハ30の表面32は、凹形状を形成するように曲げることになる。次いで、モールド28とウエハ30の間に配置した材料36aを、上記のように、凝固、重合させて材料36cを形成する。その後、モールド28とウエハ30をニュートラル(曲がっていない)の状態に戻す。最終結果は、モールド28の原パターンと比較して記録されたパターンが拡大していることになる。モールド28と基板30両方を曲げることは、記録されたパターンの拡大に寄与する。具体的には、ウエハ30によってもったらされる拡大は、ウエハ30がニュートラル状態に戻ることによって生じた。モールド28によってもたらされる拡大は、ニュートラル状態からテンプレート26を曲げることで記録されたパターンが拡大することによって生じる。この方法では、倍率は、最初のパターンに加えられる面曲がりの関数である。記録されたパターンの拡大の主要な一因となるものは、ウエハ30とテンプレート26の相対距離sによって規制される。具体的には、sが大きくなるほど、記録されたパターン内での拡大への貢献度も大きくなる。しかし、所望の拡大に達した場合、面曲がりを最小化することがしばしば望まれる。そのためには、テンプレート26かウエハ30のどちらか、またはその両方の距離を、所与の拡大必要量のために増大させることが望ましい。一般的に、ウエハの距離は標準化されているので、テンプレート26の距離を増大させることが好ましいことがわかった。
面曲がりから得ることが望ましい別の特徴は、エリアA全体にわたる均一な寸法変化である。そのためには、モールド28および/またはパターンが記録されることになっているウエハ30の領域への剪断力を確実に最小化することが有益であることがわかった。この剪断力は、ゼロであることが望まれる。これにより、モールド28および/またはパターンが記録されることになっているウエハ30の領域を均一に曲げることができるようになる。
図10を参照すると、テンプレート・チャック・システム40およびウエハ・チャック・システム140として、テンプレート26とウエハ30を均一に曲げる装置を示してある。テンプレート・チャック・システム40は、真空技法を使用してテンプレート26を保持するように適合されたチャック本体42を含む。そのために、チャック本体42は、対向する第1の面46と第2の面48を含む。面または端部である表面50は、第1の面46と第2の面48の間で延びる。第1の面46は、第1の凹所52と、その第1の凹所52から間隔をあけて配置された第2の凹所54とを含み、間隔をあけて配置された第1の支持領域58と第2の支持領域60を形成する。第1の支持領域58は、第2の支持領域60と、第1の凹所52および第2の凹所54とを取り巻いている。第2の支持領域60は、第2の凹所54を取り巻いている。第2の凹所54に重なっているチャック本体42の部分62は、前述の化学線の波長などの所定の波長を有する放射線を透過させる。そのために、ガラスなどの透明材料の薄層で部分62を作製する。しかし、部分62を作製する材料は、図2に示した放射線源22が発生する放射線の波長に依存する。部分62は、第2の面48から延び、第2の凹所54に近接して終端し、モールド28がそれと重なるように、少なくともモールド28の範囲と同じ大きさの範囲とすべきである。チャック本体42内に、64、66として示す1つまたは複数の貫通路が形成されている。貫通路64などの貫通路の1つは、第1の凹所52を表面50と流体連通させる。貫通路66などの残りの貫通路は、第2の凹所54を表面50と流体連通させる。
貫通路64は、第2の面48と第1の凹所52の間でも同様に延びることができると理解されたい。同様に、貫通路66は、第2の面48と第2の凹所54の間で延びることができる。望まれることは、貫通路64、66は、それぞれ凹所52、54がポンプ・システム70などの圧力制御システムと流体連通することを容易にするということである。
ポンプ・システム70は、凹所52、54に近接して、それぞれ別々に圧力を制御するために、1つまたは複数のポンプを含むことができる。具体的には、テンプレート26は、チャック本体42に取り付けられるときに、第1の支持領域58と第2の支持領域60に支えられて、第1の凹所52と第2の凹所54を覆う。第1の凹所52と、それに重なったテンプレート26の部分44aは、第1のチャンバ52aを形成する。第2の凹所54と、それに重なったテンプレート26の部分44bは、第2のチャンバ54aを形成する。ポンプ・システム70は、第1のチャンバ52a内と第2のチャンバ54a内の圧力を制御するように動作する。具体的には、チャック本体42に対するテンプレート26の位置を維持し、重力によってチャック本体42からテンプレート26が分離するのを、もしそれが避けられないのであれば、減少させるために、第1のチャンバ52a内に圧力を確立する。第2のチャンバ54a内の圧力は第1のチャンバ52a内の圧力とは異なってもよく、テンプレート26の形状を調整することにより、とりわけ、インプリント中に生じるテンプレート26の歪みを低減する。例えば、ポンプ・システム70はチャンバ54a内に陽圧を加えて、図5に示したインプリント層34がモールド28と接触した結果発生する押上げ力Rを補償することができる。このようにして、面46の異なる領域間に圧力差が生じ、テンプレート26、したがって力Rが加わったモールド28の撓みが、それが避けられない場合には減衰される。
面曲がりを補正するために、チャッキング・システム40は、複数の剛体柱72を有する整形装置71を含む。それぞれの剛体柱は間隔をあけて配置された2つのブラダ73aの間けて配置された2つの73bの間にそれぞれが位置する。各柱72とブラダ73a、73bとの組合せは、曲げ装置を形成している。間隔をあけて配置した2つの曲げ装置を示したが、通常は追加の曲げ装置も存在する。曲げ装置の間に位置するのは、支持体75に囲まれた空洞74である。チャック本体42は、サポート75に支えられている。チャンバは、空洞74とチャック本体42によって区画されている。チャンバは、導管76を介してポンプ・システム70と流体連通している。チャック本体42は、空洞74とチャック本体42によって形成されたチャンバが真空になることによって、整形装置71に対して堅固に保持される。部分62に重なった整形装置71の部分77は、化学線を透過する。
ブラダ73a、73bを選択的に作動させることによって面曲がりを実現し、それによって、曲げ装置の本体が柱の周りを回転する。例えば、モールド28に凸面を与えることを望む場合には、ブラダ73bが広げる。これにより、整形装置71の本体が曲げられることになり、その結果、中央領域がZ軸に沿ってチャック本体42の方へ移動することになる。次いで、整形装置71の本体の曲がる動きは、チャック本体42、したがって、テンプレート26へ伝わることになる。次いで、テンプレート26の曲がりの縦方向のひずみはモールド28へ伝わって、その上に存在する原パターン内に所望の寸法変化をもたらす。この例では、拡大である。
モールド28に凹曲面を与えることを望む場合には、ブラダ73aが広げられることになる。これにより、整形装置71の本体が曲げられることになり、その結果、中央領域がZ軸に沿ってチャック本体42から離れる方へ移動することになる。次いで、整形装置71の本体の曲がる動きは、チャック本体42、したがって、テンプレート26へ伝わることになる。次いで、テンプレート26の曲がりの縦方向のひずみはモールド28へ伝わって、その上に存在する原パターン内に所望の寸法変化をもたらす。この例では、縮小である。
同様の装置を使用して、ウエハ30の面曲がりを実現することもできる。そのために、ウエハ・チャック・システム140に整形装置71を含める。その中を透過する化学線がないので、部分62を取り除くことができる以外は、ウエハ・チャック・システム140は、テンプレート・チャック・システム40と実質的に同一でよい。この方法では、テンプレート26を曲げることとほぼ同様に、ウエハ30を曲げることができる。
図5、図11を参照する。動作中、ステップ100で、ビード36を堆積させることによって、ウエハ30上に記録パターンを作製する領域を決める。ステップ102で、ウエハ30を曲げて、領域内に輪郭が形成された表面を作製する。ステップ104で、モールド28をビード36と接触させることによって、テンプレート26とウエハ30上の領域の間に接触が作られる。ステップ106で、テンプレート26を曲げることによって、モールド28の原パターン内に寸法変化が生じる。このようにして、図4に示した液滴の材料36aは、モールド28の表面28cと一致する。ステップ108で、モールド内のパターンの逆となっているパターンを凝固させて材料36cを形成し、それにより記録されたパターンが形成される。その後、ステップ110で、図4に示したモールド28と材料36cを分離して、ウエハ30をニュートラル状態に戻す。このように、記録されたパターンはモールド28の原パターンの逆であり、相対的な寸法変化が異なっている、すなわち拡大または縮小されている。
図5、図12を参照する。動作中、ステップ200で、ビード36を堆積させることによって、ウエハ30上に記録されたパターンを作製する領域を決める。ステップ202で、モールド28をビード36と接触させることによって、テンプレート26とウエハ30上の領域の間に接触が作られる。ステップ204で、モールド28をビードと接触させた後、ウエハ30とテンプレート26を一緒に曲げて、領域内には輪郭が形成された表面を、モールド28上には弓状の表面を作製する。ステップ206で、モールド28内のパターンと逆となっているパターンを凝固させて図4に示した材料36cを形成し、それにより記録パターンを形成する。ステップ208で、ウエハをニュートラル状態に戻す。その後、ステップ210で、図4に示したモールド28と材料36cを分離し、ウエハ30をニュートラル状態に戻す。このように、記録されたパターンはモールド28の原パターンの逆であり、相対的な寸法変化が異なっている、すなわち拡大または縮小されている。
前述した本発明の諸実施形態は、例として示したものである。本発明の範囲内である限りは、前述した開示に多くの変更および修正を行うことができる。したがって、本発明の範囲は、上記の説明によって限定されるべきであるが、その代わりに、均等物の全範囲を加えた添付の特許請求の範囲によって規定されるべきである。
本発明によるリソグラフィ・システムの斜視図である。 図1に示したリソグラフィ・システムの簡略化した正面図である。 重合および架橋される前の、図2に示したインプリント層を構成する材料の簡略化した図である。 図3に示した材料が放射線にさらされた後で変換される架橋重合体材料の簡略化した図である。 インプリント層のパターン形成の後でインプリント層から間隔をあけて配置した、図1に示したモールドの簡略化した正面図である。 第1のインプリント層のパターンが転写された後で、図5に示した基板の上に配置した追加のインプリント層の簡略化した正面図である。 図2、図5、および図6に示した、その上にインプリント層が配置されたウエハの上面図である。 インプリント領域の1つの中にあるモールドの位置を示す図7の詳細図である。 図1および図2に示したテンプレートおよびウエハの面曲がりの簡略化した横断平面図である。 本発明によるテンプレート・チャック・システムおよびウエハ・チャック・システムの断面図である。 本発明による、インプリント・リソグラフィ技法を使用して形成したパターン内の寸法変化を制御する方法を示すフロー・チャートである。 本発明の一代替実施形態による、インプリント・リソグラフィ技法を使用して形成したパターン内の寸法変化を制御する方法を示すフロー・チャートである。

Claims (20)

  1. モールド内に存在する原パターンとウエハの表面内に形成される記録されたパターンの間の相対寸法を制御する方法であって、
    前記記録されたパターンを作製する領域を前記層上で決めること、
    変化したパターンを形成するように、前記モールドに引張応力をかけることによって前記原パターン内に寸法変化を生じさせること、
    前記層内に前記変化したパターンを記録すること
    を含む、方法。
  2. 前記表面に輪郭を形成するために前記ウエハに引張応力をかけることをさらに含む請求項1に記載の方法。
  3. 前記輪郭が形成される表面と前記モールドが同様の曲率半径を有するように、前記領域内に輪郭が形成される表面を作製するめに前記ウエハを曲げることをさらに含む請求項1に記載の方法。
  4. 前記ウエハを曲げることが、前記輪郭が形成された表面に一定の曲率半径を有する弓形の形状を与えることをさらに含み、前記モールドが前記弓形の形状と一致している請求項3に記載の方法。
  5. 前記決めることが、前記記録されたパターンを作製する前記層上に複数の領域を決めることをさらに含み、曲げることが、輪郭が形成された複数の表面であって、それらの中央に配置されたそれらに関連する法線をそれぞれが有する輪郭が形成された複数の表面を設けるために前記ウエハを曲げることをさらに含み、前記生じさせることが、軸の周りに放射状かつ対称的に配置された湾曲したプロファイルを前記モールドに与えこと、前記複数の領域のそれぞれに関連する前記各法線と平行に延びるように前記軸を連続的に位置合わせすることをさらに含む請求項3に記載の方法。
  6. 生じさせることが、前記モールドに第1の曲率半径を有する湾曲したプロファイルを与えることをさらに含み、曲げることが、前記輪郭が形成された表面に第2の曲率半径を有する弓形の形状を与えることをさらに含む請求項1に記載の方法。
  7. 前記モールドが、第1の表面と、それから第1の距離隔たった第1の中立軸とを含み、前記ウエハが、第2の表面と、それから第2の距離隔たった第2の中立軸とを含み、前記寸法変化の制御が、前記第1、第2の距離の大きさによって支配される請求項1に記載の方法。
  8. 前記生じさせることが、前記モールドに第1の曲率半径を有する湾曲したプロファイルを与えることをさらに含み、前記曲げることが、前記輪郭が形成された表面に第2の曲率半径を有する弓形の形状を与えることをさらに含み、前記第2の曲率半径が前記第1の曲率半径と一致している請求項3に記載の方法。
  9. 前記生じさせることが、第1の曲率半径を定めるために、軸の周りに放射状かつ対称的に配置された湾曲したプロファイルを前記モールドに与えることをさらに含み、前記曲げることが、第2の曲率半径を定めるために、前記軸の周りに放射状かつ対称的に配置された弓形の形状を前記輪郭が形成された表面に与えることをさらに含む請求項3に記載の方法。
  10. 前記生じさせることが、第1の曲率半径を定めるために、軸の周りに放射状かつ対称的に配置された湾曲したプロファイルを前記モールドに与えることをさらに含み、前記曲げることが、第2の曲率半径を定めるために、前記軸の周りに放射状かつ対称的に配置された弓形の形状を前記輪郭が形成された表面に与えることをさらに含み、前記第2の曲率半径が前記第1の曲率半径と一致している請求項3に記載の方法。
  11. 前記生じさせることが、前記ウエハ上の剪断力を最小にしながら、前記モールドに湾曲したプロファイルを与えることをさらに含み、前記曲げることが、前記ウエハ上の剪断力を最小にしながら、前記輪郭が形成された表面を弓形にすることをさらに含む請求項3に記載の方法。
  12. モールド内に存在する原パターンとウエハの層内に形成される記録されたパターンの間の相対寸法を制御する方法であって、
    前記記録されたパターンを作製する領域を前記層上で決めること、
    前記領域内に輪郭が形成された表面を作製するために前記ウエハを曲げること、
    前記モールドを曲げることによって前記原パターン内に寸法変化を生じさることであって、それにより変化したパターンを形成し、前記輪郭が形成された表面と前記モールドが同様の曲率半径を有する、生じさること、
    前記層内に前記変化したパターンを記録することを含む、方法。
  13. 前記ウエハを曲げることが、前記輪郭が形成された表面に一定の曲率半径を有する弓形の形状を与えることをさらに含み、前記モールドが前記弓形の形状と一致している請求項12に記載の方法。
  14. 前記決めることが、前記記録されたパターンを作製する前記層上に複数の領域を決めることをさらに含み、前記曲げることが、輪郭が形成された複数の表面であって、それらの中央に配置されたそれらに関連する法線をそれぞれが有する輪郭が形成された複数の表面を設けるために前記ウエハを曲げることをさらに含み、前記生じさせることが、軸の周りに放射状かつ対称的に配置された湾曲したプロファイルを前記モールドに与えこと、および前記複数の領域のそれぞれに関連する前記各法線と平行に延びるように前記軸を連続的に位置合わせすることをさらに含む請求項12に記載の方法。
  15. 前記生じさせることが、前記モールドに第1の曲率半径を有する湾曲したプロファイルを与えることをさらに含み、前記曲げることが、前記輪郭が形成された表面に第2の曲率半径を有する弓形の形状を与えることをさらに含む請求項12に記載の方法。
  16. 前記モールドが、第1の表面と、それから第1の距離隔たった第1の中立軸とを含み、前記ウエハが、第2の表面と、それから第2の距離隔たった第2の中立軸とを含み、倍率の制御が、前記第1、第2の距離の大きさによって規制される請求項12に記載の方法。
  17. 前記生じさせることが、前記モールドに第1の曲率半径を有する湾曲したプロファイルを与えることをさらに含み、前記曲げることが、前記輪郭が形成された表面に第2の曲率半径を有する弓形の形状を与えることをさらに含み、前記第2の曲率半径が前記第1の曲率半径と一致している請求項12に記載の方法。
  18. 前記生じさせることが、第1の曲率半径を定めるために、軸の周りに放射状かつ対称的に配置された湾曲したプロファイルを前記モールドに与えることをさらに含み、前記曲げることが、第2の曲率半径を定めるために、前記軸の周りに放射状かつ対称的に配置された弓形の形状を前記輪郭が形成された表面に与えることをさらに含む請求項12に記載の方法。
  19. 前記生じさせることが、第1の曲率半径を定めるために、軸の周りに放射状かつ対称的に配置された湾曲したプロファイルを前記モールドに与えることをさらに含み、前記曲げることが、第2の曲率半径を定めるために、前記軸の周りに放射状かつ対称的に配置された弓形の形状を前記輪郭が形成された表面に与えることをさらに含み、前記第2の曲率半径が前記第1の曲率半径と一致している請求項12に記載の方法。
  20. 前記生じさせることが、前記ウエハ上の剪断力を最小にしながら、前記モールドに湾曲したプロファイルを与えることをさらに含み、前記曲げることが、前記ウエハ上の剪断力を最小にしながら、前記輪郭が形成された表面を弓形にすることをさらに含む請求項12に記載の方法。
JP2004560778A 2002-12-13 2003-12-12 基板の面曲がりを使用する倍率補正 Expired - Lifetime JP4563181B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US43347702P 2002-12-13 2002-12-13
PCT/US2003/039448 WO2004054784A1 (en) 2002-12-13 2003-12-12 Magnification corrections employing out-of-plane distortions on a substrate

Publications (3)

Publication Number Publication Date
JP2006510223A true JP2006510223A (ja) 2006-03-23
JP2006510223A5 JP2006510223A5 (ja) 2007-01-25
JP4563181B2 JP4563181B2 (ja) 2010-10-13

Family

ID=32595197

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004560778A Expired - Lifetime JP4563181B2 (ja) 2002-12-13 2003-12-12 基板の面曲がりを使用する倍率補正

Country Status (6)

Country Link
US (1) US7323130B2 (ja)
JP (1) JP4563181B2 (ja)
AU (1) AU2003300865A1 (ja)
MY (1) MY136129A (ja)
TW (1) TW200500811A (ja)
WO (1) WO2004054784A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008098633A (ja) * 2006-10-06 2008-04-24 Asml Netherlands Bv インプリントリソグラフィ
JP2009517882A (ja) * 2005-12-01 2009-04-30 モレキュラー・インプリンツ・インコーポレーテッド 固化したインプリンティング材料からモールドを分離する方法
JP2009295919A (ja) * 2008-06-09 2009-12-17 Toshiba Corp 半導体装置の製造方法
WO2013111606A1 (en) * 2012-01-27 2013-08-01 Canon Kabushiki Kaisha Imprint apparatus and article manufacturing method using same
US8543352B2 (en) 2007-05-22 2013-09-24 Dai Nippon Printing Co., Ltd. System for measuring a shape, method for measuring a shape, and computer program product
JP2015149484A (ja) * 2009-07-02 2015-08-20 モレキュラー・インプリンツ・インコーポレーテッド 引っ込んだ支持特徴部を有するチャッキングシステム
JP2018082175A (ja) * 2016-11-14 2018-05-24 キヤノン株式会社 テンプレート複製
US11104057B2 (en) 2015-12-11 2021-08-31 Canon Kabushiki Kaisha Imprint apparatus and method of imprinting a partial field

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
JP4340086B2 (ja) * 2003-03-20 2009-10-07 株式会社日立製作所 ナノプリント用スタンパ、及び微細構造転写方法
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
JP4704434B2 (ja) * 2004-10-08 2011-06-15 ダウ・コーニング・コーポレイション 相変化組成物を使用するリトグラフィープロセス及びパターン
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7630067B2 (en) * 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
US7410591B2 (en) * 2004-12-16 2008-08-12 Asml Holding N.V. Method and system for making a nano-plate for imprint lithography
US7399422B2 (en) * 2005-11-29 2008-07-15 Asml Holding N.V. System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby
US7363854B2 (en) * 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US7331283B2 (en) * 2004-12-16 2008-02-19 Asml Holding N.V. Method and apparatus for imprint pattern replication
KR101264673B1 (ko) * 2005-06-24 2013-05-20 엘지디스플레이 주식회사 소프트 몰드를 이용한 미세 패턴 형성방법
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
JP4987012B2 (ja) * 2005-12-08 2012-07-25 モレキュラー・インプリンツ・インコーポレーテッド 基板の両面パターニングする方法及びシステム
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7433051B2 (en) * 2006-03-09 2008-10-07 Ultratech, Inc. Determination of lithography misalignment based on curvature and stress mapping data of substrates
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
WO2007117524A2 (en) * 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7613538B2 (en) 2006-07-24 2009-11-03 Hewlett-Packard Development Company, L.P. Compensation for distortion in contact lithography
EP1953812A1 (de) * 2007-01-31 2008-08-06 PROFACTOR Produktionsforschungs GmbH Substratträger
JP4478164B2 (ja) * 2007-03-12 2010-06-09 株式会社日立ハイテクノロジーズ 微細構造転写装置、スタンパおよび微細構造の製造方法
JP4418476B2 (ja) * 2007-03-20 2010-02-17 株式会社日立ハイテクノロジーズ 微細構造転写装置および微細構造体の製造方法
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
NL1036034A1 (nl) * 2007-10-11 2009-04-15 Asml Netherlands Bv Imprint lithography.
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
JP2012522327A (ja) * 2009-03-23 2012-09-20 インテバック・インコーポレイテッド パターニングされた媒体の溝と島の比率の最適化のための方法
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
FR3029433B1 (fr) * 2014-12-04 2017-01-13 Commissariat Energie Atomique Procede de transfert d'au moins une partie d'un film composite sur une membrane souple en polymere
US10024654B2 (en) * 2015-04-06 2018-07-17 Kla-Tencor Corporation Method and system for determining in-plane distortions in a substrate
US10991582B2 (en) * 2016-12-21 2021-04-27 Canon Kabushiki Kaisha Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58219735A (ja) * 1982-06-16 1983-12-21 Hitachi Ltd ステップアンドリピート方式のプロキシミティ露光装置
JPS5917247A (ja) * 1982-07-21 1984-01-28 Hitachi Ltd 露光装置
JPS5969926A (ja) * 1982-10-15 1984-04-20 Hitachi Ltd 露光方法及びその装置
JPH06208942A (ja) * 1993-01-11 1994-07-26 Mitsubishi Electric Corp 露光装置及び露光方法
JPH09240125A (ja) * 1996-03-04 1997-09-16 Motorola Inc 物品の面をスタンピングするための装置および方法
WO2002067055A2 (en) * 2000-10-12 2002-08-29 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US81193A (en) * 1868-08-18 Improved stump-joint for carriages
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
GB1578259A (en) 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5573877A (en) 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US6518168B1 (en) 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JPH10172897A (ja) 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
JP3296239B2 (ja) 1997-03-27 2002-06-24 ウシオ電機株式会社 間隙設定機構を備えたプロキシミティ露光装置
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
JP4846888B2 (ja) 1998-12-01 2011-12-28 キヤノン株式会社 位置合わせ方法
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6522411B1 (en) 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
CA2395760A1 (en) 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP2001358056A (ja) 2000-06-15 2001-12-26 Canon Inc 露光装置
EP2264522A3 (en) 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
KR20030040378A (ko) 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
AU2001286573A1 (en) 2000-08-21 2002-03-04 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6451705B1 (en) 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
TW525221B (en) 2000-12-04 2003-03-21 Ebara Corp Substrate processing method
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6791669B2 (en) 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6383888B1 (en) 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
EP1417474B1 (en) * 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP4799861B2 (ja) 2002-04-16 2011-10-26 プリンストン ユニバーシティ マイクロ流体とナノ流体間のインターフェース用勾配構造と、その製造方法および使用方法
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6665119B1 (en) 2002-10-15 2003-12-16 Eastman Kodak Company Wire grid polarizer
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
WO2004086471A1 (en) * 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58219735A (ja) * 1982-06-16 1983-12-21 Hitachi Ltd ステップアンドリピート方式のプロキシミティ露光装置
JPS5917247A (ja) * 1982-07-21 1984-01-28 Hitachi Ltd 露光装置
JPS5969926A (ja) * 1982-10-15 1984-04-20 Hitachi Ltd 露光方法及びその装置
JPH06208942A (ja) * 1993-01-11 1994-07-26 Mitsubishi Electric Corp 露光装置及び露光方法
JPH09240125A (ja) * 1996-03-04 1997-09-16 Motorola Inc 物品の面をスタンピングするための装置および方法
WO2002067055A2 (en) * 2000-10-12 2002-08-29 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
JP2004523906A (ja) * 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009517882A (ja) * 2005-12-01 2009-04-30 モレキュラー・インプリンツ・インコーポレーテッド 固化したインプリンティング材料からモールドを分離する方法
US7946837B2 (en) 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
JP2008098633A (ja) * 2006-10-06 2008-04-24 Asml Netherlands Bv インプリントリソグラフィ
US8543352B2 (en) 2007-05-22 2013-09-24 Dai Nippon Printing Co., Ltd. System for measuring a shape, method for measuring a shape, and computer program product
JP2009295919A (ja) * 2008-06-09 2009-12-17 Toshiba Corp 半導体装置の製造方法
JP2015149484A (ja) * 2009-07-02 2015-08-20 モレキュラー・インプリンツ・インコーポレーテッド 引っ込んだ支持特徴部を有するチャッキングシステム
KR101778074B1 (ko) * 2009-07-02 2017-09-26 캐논 나노테크놀로지즈 인코퍼레이티드 후퇴된 지지부 피처를 갖는 척 시스템
WO2013111606A1 (en) * 2012-01-27 2013-08-01 Canon Kabushiki Kaisha Imprint apparatus and article manufacturing method using same
JP2013175709A (ja) * 2012-01-27 2013-09-05 Canon Inc インプリント装置、それを用いた物品の製造方法
US9851634B2 (en) 2012-01-27 2017-12-26 Canon Kabushiki Kaisha Imprint apparatus
US11104057B2 (en) 2015-12-11 2021-08-31 Canon Kabushiki Kaisha Imprint apparatus and method of imprinting a partial field
JP2018082175A (ja) * 2016-11-14 2018-05-24 キヤノン株式会社 テンプレート複製
JP6994911B2 (ja) 2016-11-14 2022-01-14 キヤノン株式会社 テンプレート複製

Also Published As

Publication number Publication date
WO2004054784A1 (en) 2004-07-01
JP4563181B2 (ja) 2010-10-13
TW200500811A (en) 2005-01-01
AU2003300865A1 (en) 2004-07-09
US7323130B2 (en) 2008-01-29
US20040146792A1 (en) 2004-07-29
MY136129A (en) 2008-08-29

Similar Documents

Publication Publication Date Title
JP4563181B2 (ja) 基板の面曲がりを使用する倍率補正
KR101056505B1 (ko) 기판의 형상을 조절하기 위한 척킹 시스템 및 방법
US7019819B2 (en) Chucking system for modulating shapes of substrates
JP4594305B2 (ja) インプリント・リソグラフィ・プロセスにおける倍率拡大及びゆがみを補正するためのシステム
US6980282B2 (en) Method for modulating shapes of substrates
JP4688872B2 (ja) ナノスケール加工中に基板の寸法を変更する装置、システムおよび方法
JP5139421B2 (ja) 厚さが変化するテンプレート
KR100862301B1 (ko) 임프린트 리소그래피를 위한 고분해능 오버레이 정렬 방법 및 시스템
US6929762B2 (en) Method of reducing pattern distortions during imprint lithography processes
KR100827741B1 (ko) 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
JP2011528506A (ja) ナノ−インプリント・リソグラフィのための内部空洞システム
SG195597A1 (en) Imprint lithography system and method
JP2013507770A (ja) 大面積線形アレイのナノインプリンティング
US20070231422A1 (en) System to vary dimensions of a thin template

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061129

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090911

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100713

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100728

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4563181

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term