JP2006506520A - 温度制御型チャックによる無電解堆積のための方法と装置 - Google Patents

温度制御型チャックによる無電解堆積のための方法と装置 Download PDF

Info

Publication number
JP2006506520A
JP2006506520A JP2004536053A JP2004536053A JP2006506520A JP 2006506520 A JP2006506520 A JP 2006506520A JP 2004536053 A JP2004536053 A JP 2004536053A JP 2004536053 A JP2004536053 A JP 2004536053A JP 2006506520 A JP2006506520 A JP 2006506520A
Authority
JP
Japan
Prior art keywords
substrate
temperature
deposition solution
deposition
solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004536053A
Other languages
English (en)
Inventor
イヴァノフ,イゴー・シィ
ツァング,ジョナサン・ウェイグオ
コリクス,アルトゥール
Original Assignee
ブルー29 コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルー29 コーポレーション filed Critical ブルー29 コーポレーション
Publication of JP2006506520A publication Critical patent/JP2006506520A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1676Heating of the solution
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1678Heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/168Control of temperature, e.g. temperature of bath, substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

金属、半導体、又は誘電体であってもよい被覆材料を無電解堆積するための方法を提供する。この方法は、比較的低い温度の使用液で実施され、この低い温度は、基板チャックの中に組み込まれた加熱器によって制御される基板上の上昇した温度により補償される。使用液の温度低下は溶液の熱分解を防止し、通常は上昇した温度において発生する気泡の形成を減少させる。基板の面上の気泡蓄積は、基板の処理された面の上向きになる方向付けによってさらに防止される。基板ホルダは、基板の表面を急速に加熱又は冷却するために交替で使用することができる基板加熱器と基板冷却器とを備えている。

Description

本発明は、半導体製造の分野に関し、特に半導体基板上に材料の無電解堆積を行うための装置と方法に関する。具体的には、本発明は、溶液から金属が薄膜の形で堆積させられる基板を保持する温度制御型チャックを使用した無電解堆積に関する。
半導体デバイス、特に半導体基板上に積層された様々な金属や非金属層を有する多層構造の集積回路を製造するには、通常、基板の上又は事前に堆積された他の層の上にいくつかの金属層を加えることを含む。これらの層は、共同して集積回路又はいわゆる「チップ」を形成する数千の個別のデバイスを構成させることもあるので、複雑な平面トポロジーを有することがある。現代のチップは、数十オングストロームから数分の1ミクロンまでの厚さを有する金属層又は誘電体層を有することもある。
半導体デバイスの集積回路においては使用される薄い金属膜が電流の導体として働くことは理解されるであろう。さらにまた、集積回路において使用される金属相互接続部における信号電流の密度は、多くの導体膜の空間伝達に関連する電気移動などの現象を発生させる非常に高い値に達し得ることが知られている。したがって、堆積された金属薄膜の特性と性質(膜厚の均一性、低い電気抵抗率など)が、集積回路と半導体デバイスとの性能特性と品質を全体として決定する。
上記の観点から、集積回路に使用される薄い金属膜は、金属堆積工程に関する厳しい条件だけでなく、この工程の再現性と可制御性に関する非常に厳しい要件を満たさなければならない。
マイクロエレクトロニクス製造業界においては、集積回路を形成するために広範囲の金属が利用されている。これらの金属には、例えばアルミニウム、ニッケル、タングステン、白金、銅、コバルトさらにはケイ化物やハンダなどの導電性化合物の合金が含まれる。化学気相成長法(CVD)、物理気相成長法(PVD)、電気めっき、無電解めっきなどの様々な技術的工程を使用して被覆薄膜が基板の上に付着させられることも周知である。これらの技法のうち、電気めっきと無電解めっき、又は気相成長は、堆積された薄膜の特性を向上させるためには最も経済的で最も有望である。したがって、電気めっきと無電解めっき技法は、他の技術の代わりとして成功している。
電気めっきと無電解めっきとを、連続金属層やパターン化された金属層の堆積に使用することができる。半導体ウェハの上に金属を堆積するためにマイクロエレクトロニクス製造業界によって使用される工程順序の1つは、「ダマシン」工程として知られている。この工程では、通常「バイア」と呼ばれる孔、レンチ、及び/又はその他の凹所が、被加工物に形成され、銅などの金属によって充填される。ダマシン工程では、誘電体材料の中にエッチングされたバイアとトレンチを有するウェハに、まず金属シード層が形成される。このシード層が次の電気めっき段階中に電流を導くために使用される。銅などの金属が使用される場合には、シード層は、Ti、TiNなどの障壁層材料の上に設けられる。シード層は、1つ又は複数の工程を使用して付着させることができる金属の非常に薄い層である。例えば、物理気相成長法又は化学気相成長法を使用して金属のシード層を形成させ、1000オングストローム程度の厚さの層を生成することができる。シード層を銅、金、ニッケル、パラジウム、又はその他の金属で形成することが有利である。シード層は、バイア、レンチ、又は他の凹状のデバイスの特徴形状を含んでいる面に形成される。
次にシード層の上に金属層が連続層の形で電気めっきされる。連続層は、トレンチとバイアを充填し、かつこれらの特徴形状の上である程度広がる金属層となる上層を形成するようにめっきされる。このような連続層は一般的に、5000〜15000オングストローム(0.5〜1.5ミクロン)程度の厚さを有する。
連続層が半導体ウェハの上に電気めっきされた後、バイア、レンチ、又はその他の凹所の外側に存在する過剰な金属材料が除去される。金属が除去され、形成される半導体集積回路の中に結果的に得られる金属層のパターンとなる。過剰なめっき材料は、例えば化学機械的平坦化を使用して除去することができる。化学機械的平坦化は、いくつかの化学的除去剤、又は研磨剤を含むいくつかの化学的除去剤の組合せ作用を使用する加工段階であり、研磨剤は露出した金属面を摩滅し磨いて、電気めっき段階において付着された金属層の不要な部分を除去する。
電気めっきに伴う欠点は、半導体ウェハの電気めっきにおいて使用されるリアクタの設計に関連する技術的問題である。ウェハの周辺の周りにシード層を有する限定された数の離散電気接点(例えば8個の接点)の利用は、通常、接点の近くでウェハの他の部分におけるよりも高い電流密度を生成する。次いで、ウェハを横切るこの不均一な電流分配は、めっきされた金属材料の不均一な堆積の原因になる。シード層と接触するもの以外の導電性要素を準備することによって作用される電流シービング(thieving)を、ウェハ接点の近くで使用して、このような不均一性を最小限に抑えることができる。しかしこのようなシービング技法は電気めっき設備に複雑さを加え、メンテナンス要件を増やす。
電気めっきしようとする特定の金属もまた、電気めっき工程を複雑にすることがある。例えば、ある一定の金属の電気めっきは一般的に、比較的高い電気抵抗を有するシード層の使用を必要とする。この結果、代表的な複数の電気ウェハ接点(例えば8個の離散接点)の使用は、ウェハ上にめっきされた金属層の適切な均一性をもたらさないこともある。バイアとトレンチのような特徴形状のサイズの縮小も、より高い抵抗率を有するより薄い層を必要とし、この層はウェハ縁部から中央部分まで大きな電位低下を発生させることもあり、これによって中央部分における堆積速度は大幅に低下する。
上で検討した問題の他に、電気めっきリアクタに関連するその他の問題もある。デバイスのサイズが小さくなると、処理加工環境の密な制御の必要性が増す。これは、電気めっき工程に影響する汚染物の制御を含む。したがって、このような汚染物を発生する傾向のあるリアクタの移動する構成要素は、厳しい隔離要件に従わなければならない。
さらにまた、既存の電気めっきリアクタは、様々な電気めっき工程のために維持及び/又は構成変更することがしばしば困難である。電気めっきリアクタの設計を大規模製造に受け入れようとする場合には、このような困難性を克服しなければならない。
電気めっき法による銅堆積に関連する1つの欠点は、マイクロエレクトロニクス被加工物上の極めて小さな特徴形状(サブ0.1ミクロンの特徴形状)については、電気めっき法による銅堆積は高いアスペクト比のバイアとトレンチの側壁への順応性に欠け、形成された相互接続部とプラグ(バイア)の中に空所を作る可能性があることである。これはPVD又はCVDによって堆積された銅シード層の非順応性によることが多い。この結果、シード層は高いアスペクト比の特徴形状の底部まで電流を搬送するために十分に厚くならないこともある。
マイクロエレクトロニクス被加工物上に銅を堆積させる1つの代替工程は「無電解」めっきと呼ばれており、これは外部電流源なしに溶液から触媒面に金属を堆積することである。例えば、この工程を従来の電気めっき用のプラスチック物品を準備する場合の事前段階として使用することができる。洗浄とエッチングの後に、触媒金属、例えばパラジウムをin situに沈殿させるように反応する溶液の中にプラスチック面を浸す。まずプラスチックを酸性塩化第一スズ溶液の中に入れ、次に塩化パラジウムの溶液の中に入れる。パラジウムはスズによってその触媒金属状態に還元される。触媒面を生成する別の一方法は、プラスチック物品をパラジウムのコロイド溶液の中に沈め、次に加速溶液の中に沈める。こうして、プラスチック物品を、導電性面を形成する無電解法によってニッケル又は銅によってめっきすることができ、次いでこの面を従来の電気めっき法によって他の金属でめっきすることができる。
電気めっき法と共に、無電解法もまた、半導体デバイスの製造において広い用途を有している。
電気めっきと比較して、無電解めっき又は堆積は、非常に薄いシードによるか又はシードを全く使用せず実現することができる選択的工程である。無電解工程は外部電流源の使用を伴わないので、無電解堆積は結果として、離散接点がないという観点からより均一な被覆をもたらす。無電解堆積を、簡単で安価な設備を使用して、高アスペクト比でのギャップ・フィルで実現することができる。
次に、特に半導体デバイスの製造において使用するための、無電解堆積用の既存の方法と装置のいくつかの例を述べる。
例えば、1996年に出願されてJ.Calvert他に譲渡された米国特許第5500315号は、従来システムの制限の多くを克服する無電解金属めっき触媒システムを開示している。この発明の一態様では、工程は、無電解堆積触媒に結合できる1つ又は複数の化学グループ、その少なくとも一部分が基板に化学的に結合する化学グループを備えた基板を提供するステップと、基板を無電解金属めっき触媒に接触させるステップと、基板を無電解金属めっき溶液に接触させて基板の上に金属堆積物を形成するステップとを含む。化学基を例えば基板に共有結合させることができる。別の好ましい態様では、この発明は、選択的無電解金属被覆のための工程を提供しており、これは、無電解金属被覆触媒に対する基板の反応性を選択的に変更するステップと、基板を無電解金属被覆触媒に接触させるステップと、基板を無電解金属被覆溶液に接触させて基板の上に選択的無電解堆積物を形成するステップとを含む。基板の反応性を、例えば基板上の触媒結合基又はその前駆物質を選択的に処理することによって、例えば結合基又は前駆基の異性化、光切断、又はその他の変換によって変更することができる。このような直接変更は、従来技術による選択的めっき技法よりももっと直接的で便利な方式での選択的めっきを可能にする。特に、上述の特許は、フォトレジスト又は吸着型スズ含有めっき触媒を使用しない選択的無電解堆積を提供する。
上述の方法は基板結合基の選択的パターン化を提供し、実際的な例のいくつかは溶液の異なる温度を示しているが、この発明は、溶液又は基板ホルダの温度を制御することによって無電解堆積工程を最適化するための方法を教示していない。その上に、上述の発明は排他的にある1つの方法に関し、何らかの無電解堆積設備を記載するものではない。
2001年にD.Woodruff他に譲渡された米国特許第6309524号は、その実施形態の1つにおいて、被加工物の面の上に金属をめっきするための普遍的な電気めっき/無電解リアクタを開示している。被加工物をめっきするための統合されたツールは、無電解堆積工程を使用して被加工物をめっきするための第1処理チャンバと、電気めっき工程を使用して被加工物をめっきするための第2処理チャンバとを備えている。ロボット式移送機構が使用され、これは、被加工物をその無電解堆積のための第1処理チャンバへ移送し、続く動作でその電気めっきのための第2処理チャンバへ移送する様にプログラムされている。
留意すべき点は、槽の使用における共通の問題は、無電解堆積工程に対して特に真であるが、ウェハを一方の槽から他方の槽へ移送するときに異物粒子又は汚染物がウェハの基板の表面上に沈殿することである。別の共通の問題は、(槽から槽への)移送中にウェハの基板の表面が空気に露出することで、電解質が蒸発することによって、面内の深くて狭いトレンチ又は面内の小さな(接触)孔を濡れない状態にする可能性があることである。さらに別の共通の問題は、空気への露出が触媒面の酸化を引き起こし、この結果、触媒の活動が低下し、金属堆積物の品質が悪くなることである。この問題は、空気の中で容易に酸化する銅などの材料を使用するときに、とりわけ面倒になる。したがって、サブミクロン範囲で高品質の金属堆積物を生成するために、処理チャンバの間でウェハを移送しないこと、単一の槽又は処理チャンバを使用すること、処理チャンバを通す工程のステップ毎に異なる流体を動かすことによって、ウェハの空気への露出を避けることがさらに望ましい。その上に、この特許はなお溶液と基板との温度制御などの重要な問題を無視している。
上記の問題は、1998年に発行され、Y.Shacham−Diamand他に譲渡された米国特許第5830805号に記載のシステムによって解決される。この特許は、無電解堆積装置と、処理チャンバの中にウェハを保持しながらウェハを複数の処理流体に当てるために閉鎖された処理チャンバを使用する半導体ウェハを処理するための無電解堆積実施方法とを開示している。この発明は、堆積、エッチング、清浄化、すすぎ、及び/又は乾燥を含む製造処理に有用である。上記特許の装置の好ましい実施形態において使用される処理チャンバは、1つ又は複数の半導体ウェハを保持することが可能な封入容器である。次に分配システムは、ウェハを処理するためのチャンバの中に次の流体を導入し、それからウェハを処理した後にチャンバから第1流体を除去する。次に分配システムは、ウェハを処理するためのチャンバの中に次の流体を導入し、それからウェハを処理した後にチャンバから次の流体を除去する。この手順は、製造工程が終了するまで続く。この発明で使用される流体は、実施される工程によって異なるが、脱イオン水、洗浄用のN2、還元剤や錯化剤又はpH調整剤を含む電解液などの流体を含んでいる。
流体は、入口を通って密封された処理チャンバに入り、出口を通って処理チャンバを出る。流体が処理チャンバに入ると、流体はウェハ全体にわたって均一な流れとして分散する。循環システムが流体を、温度制御システム、化学的濃度監視システム、ポンプ・システム、ろ過システムを使用して処理チャンバを通過させ、その後、流体を再循環させて処理チャンバを通じて戻す。
追加の実施形態は、ウェハがハウジング面のいずれかの側又は両側に取り付けられた、回転式に取り付けられた筒形ウェハ・ハウジングと、ハウジングの内側面にウェハを取り付けるときに筒形ハウジングの内部に取り付けられる内部コアと、流体をウェハ上の全面にわたって均一に分散させる分散装置とを含む。処理チャンバに、加熱器と温度制御システムとを備えることができる。しかし処理チャンバは開放式のチャンバであり、したがって圧力制御式の堆積工程は可能ではない。さらに、開放式のチャンバは、溶液の汚染に対する工程の適切な保護を提供しない。
周知の無電解工程は、その利点にもかかわらず、主パラメータの1つとして使用化学溶液の温度を有する。無電解工程における堆積の速度が指数関数に近い度合いで温度によって変化することは知られている。例えば1991年、Finishing Publications Ltd.の「Electroless Nichel Plating」として出版された論文において、W.Riedelは(その39ページに)、温度は堆積速度に影響するパラメータのうち最も重要なものであること、Ni−P無電解工程において堆積速度は槽の温度10度毎に2倍増加することを述べている。
さらに、ウェハ面上の金属相互接点については、主な要件の1つは低抵抗率である。この要件を果たすための身近な第2の最良要件として銅が選択された。しかし、PVC銅シードとECD(電気めっき銅堆積物)銅との間の界面における様々な添加物の存在によって、抵抗率は極めて薄い無電解堆積された銅層における抵抗率と比較して不釣り合いなほど増加した。この現象は2001年にAMCにおいてS.Lopatinによって報告された。
ELD銅の抵抗率は堆積条件に強く依存すること、より高い温度では低抵抗率の材料を得ることが可能であることもまた、Y.Lantasov他が、「Microelectronics Engineering」誌(第50号(2000)、441−447ページ、図2)に示している。
しかしながら、高温における無電解堆積は堆積層における著しい不均一性をもたらすことが解っている。これは局部的な温度の変動によって生じる。温度が高いほどこの種の変動は大きくなる。大容量の溶液タンクにおける上昇した温度の安定化は、複雑な温度制御システムと温度維持システム(シール、断熱材など)の使用に関連する。したがってこれは装置とメンテナンスの費用を増加する。
上記の理由のために、半導体設備の製造者たちは、室温で実施される無電解工程の使用を好む。堆積の低速度は、連続して配置された多くのチャンバで多くの基板を同時作業する複数ステーションの堆積設備の利用によって補償される(例えば、2001年に発行され、DE.Woodruff他に譲渡された米国特許第6322677号を参照されたい)。このような設備は大きな製造空間を必要とし、大容積の溶液の使用を指示する。その上に、溶液の準備、貯蔵、使用後の処理のために追加の空間が必要である。したがってこれは環境上の問題を引き起こす。
既存の無電解堆積装置におけるその他の共通の欠点は、低速度の堆積であり、これは一般に堆積される材料の形式に依存し、最良の場合でも100nm/分を超えることはないが、通常はさらにもっと低い。例えばCoWPでは、堆積速度は5nm/分〜10nm/分の範囲内にあり得る。
2002年3月22日に出願された先の米国特許出願第103015号では、本出願人は、上記の工程と装置に関連する電気めっきと無電解堆積の問題を実質的に解決した。具体的には、この特許出願に記載された装置は、密封することが可能で高圧と高温に耐え得る閉鎖可能なチャンバを有する。チャンバには、垂直軸の周りに回転できる基板ホルダと、基板ホルダ内のエッジグリップ機構とが含まれる。この堆積チャンバは、堆積溶液や洗浄用の脱イオン水などの様々なプロセス液体を供給するためのいくつかの入口ポートと、圧力の下で気体を供給するためのポートとを有する。装置は、液体や気体を処理するためのリザーバとタンク、さらに溶液加熱器と堆積チャンバ内の温度と圧力を制御するための制御システムを備えている。加熱器を加工チャンバの外に置くか、又は基板ホルダの中に組み込むこともでき、又は同時に両加熱器を使用することができる。均一な堆積は、圧力と溶液の沸点より僅かに低い温度との下で堆積工程を実施することによって達成される。溶液を上から、カバーの中に形成されたシャワーヘッドを通して、又はチャンバの底部を通して供給することができる。洗浄液又はその他の補助溶液は、基板の上にこれに平行に配置することができる半径方向に移動可能な化学剤分配アームを介して供給される。
米国特許出願第103015号の装置は、加熱器によって使用液全体を均一に加熱し、この加熱器は堆積チャンバの外側に位置してチャンバへの途中で溶液を加熱するか、堆積チャンバのカバーの内部に位置するかのいずれかである。主な案は、使用液の容積全体を均一な温度に維持することである。一般に、温度を調節することはできるが、常に一定にしかも比較的高いレベル(例えば80〜90℃)に保持すべきである。しかしながら、使用液の高い温度は堆積工程の生産性の本質的な向上につながるが、この工程は、高温が溶液の急速な熱分解を起こすので、使用液の不断の取り替えを必要とする。溶液の不断の取り替えは高い流速で実施しなければならず、したがってこれは生産費を増加させる。
使用液に対する永続的高温の望まれていない影響を、下記の様に説明することができる。すなわち無電解堆積は、還元剤(例えば次亜燐酸塩アニオン)の酸化中に放出される電子によって触媒活性面上で金属イオン、例えばコバルトやタングステンなどを還元する工程である。還元剤の酸化は基板によって触媒作用を受け、最も広範に受け入れられているモデルの場合は、還元剤からの電荷が基板を通って金属イオンに伝達され、こうして基板の表面上に金属原子を生成することを想定することができる。
上記の工程の簡略化した結合化学反応を、次の様に表すことができる。
2PO2 +H2O+Co++=Co0+H+H2PO3
還元剤は、処理された部分への金属の堆積によって、高温における(特に加熱素子のホットスポット上の)加水分解によって、堆積ツール・ハードウェアによって発生した粒子に対する触媒酸化によって、ツール構造において使用される重合体の反応成分(例えば、エチレン結合、カルボキシル基など)との還元剤の反応によって、消費される。
十分に高い濃度の汚染粒子及び/又は溶液の沸点では、組成物は、上述の粒子(と瑕疵)の面における金属還元によって自然に及び完全に分解可能である。少数の金属原子が形成されるとすぐに、これらは溶液のさらなる連続分解のために新しい核生成個所となる。
2002年5月2日に開示された国際特許出願公開番号WO02/34962(以下、国際出願と呼ぶ)は、使用液の熱分解の問題が加熱デバイスを有する基板ホルダを利用することによって部分的に解決される無電解装置を記載している。この装置の基板ホルダは、加工チャンバにおいて下に面する加工処理面の一部分に堆積する間、基板をクランプするための基板チャックである。
前述の国際出願による装置の主な短所は、基板が下向きの加工処理面を伴って方向付けられていることである。溶液の静的条件において、又は溶液の低速流を伴う工程において、基板の前述の方向付けは加工処理面上の気泡の蓄積をもたらす。したがって気泡は堆積の均一性に必要な条件に違反する。この問題を解決するために、国際出願の装置では、堆積チャンバは、基板の下向き面にわたる特定の経路に使用液の流れを導くための曲線の底部面を有する。しかし、使用液の流れが基板の縁部面上にいくらかの動力学的条件を発生させたとしても、ある一定の停滞点が基板の中央部分に常に残る。この局部区域は気泡を蓄積することがある。さらに、基板の表面上の流速の差が不均一な温度分配を導くこともある。言い換えれば、国際出願の装置は無電解堆積の均一性を提供しない。
チャックの中央区域における気泡の蓄積と溶液の停滞に関連する問題を無くすために、前述の装置の一実施形態は、回転チャックの揺動動きを伴う複雑な運動学的システムを含む。このような複雑なシステムは装置と製品をより高価なものにし、同時に工程は制御困難になる。
本発明の目的は、上に面して処理されるべき基板の表面を有する高均一性の薄膜を無電解堆積するための方法と装置を提供することである。別の目的は、静的条件の下で、又は使用液流の比較的低い速度において、停滞区域を形成することなく無電解堆積を可能にする上述の装置と方法とを提供することである。さらに別の目的は、温度制御式基板ホルダと組み合わせて、比較的低い温度において大容積の使用液を用いることによる使用液の熱分解なしに、基板上の金属の均一な堆積を可能にする上述の装置と方法とを提供することである。さらに別の目的は、複雑な基板運動機構を使用することなく、気泡のない堆積を可能にする上述の装置と方法とを提供することである。
本発明の別の目的は、基板の上及び/又は使用液の全容積において、温度の最適化された制御を伴う無電解堆積法を提供することである。
本発明の方法は、金属、半導体、又は誘電体であってもよい被覆材料の無電解堆積が使用液の比較的低い温度で実施され、その低い温度が、基板チャックの中に組み込んだ加熱器によって制御される基板上の上昇された温度によって補償されることを含む。使用液の温度の低下は、溶液の熱分解を防止し、通常は上昇した温度において発生する気泡の形成を減少させる。基板の表面上の気泡の蓄積はさらに、基板の処理された面の上向き方向付けによって防止される。装置の残りのユニット、すなわち、様々なプロセス溶液を供給するための入口ポートと圧力下で気体を供給するためのポートとを有する閉鎖可能なチャンバ、液体と気体を処理するためのリザーバとタンク、溶液加熱器、チャンバ内の温度と圧力を制御するための制御システムなどは、本出願人による上述の以前の特許出願に開示された装置におけるものと同じである。
本発明による無電解めっき装置が図1に概略的に示され、全体として参照番号20で指示されており、この装置は無電解めっきチャンバ22(以下、「チャンバ22」と呼ぶ)を含むハウジング21を有し、無電解めっきチャンバ22はその上から覆うカバー24によって気密に閉鎖されている。閉状態では、チャンバ22は増加する圧力に耐えることもでき、カバー、チャンバ、ポート、フィードスルー・デバイス、固定具などの連結部品の間には適切なシール(図示せず)を備えている。具体的には、チャンバ22の中で増加する圧力は、大気圧より上、2気圧にまで達することもあり、大気圧以下、例えば0.1気圧にまで低下することもある。
チャンバ22は、高温と高圧の下で装置20の操作工程において使用される腐食性溶液の作用に耐えることができる化学的に安定した材料で作られるか、又はその材料で被覆された内側面を有する。このような材料の例として、テフロン(登録商標)、ある形式のセラミックなどがある。
チャンバ22は基板ホルダ26を含む。基板ホルダ26は下側30に取り付けられた軸28によってチャンバ22の内部で回転することができる。軸28はチャンバ22の底部32を密封状態で通過し、その外側の端部に歯車34を堅く支持している。この歯車34は、モータ36の出力軸に設けられた他の歯車38を介してモータ36から回転駆動させられる。歯車34、38は同期ベルト40を介して相互に連結されている。
基板ホルダ26は、プレートのような形状を有し、エッジグリップ機構44を備えており、エッジグリップ機構44は、この面に置かれた基板Wを掴み、固定し、支えるために基板ホルダの上部分に位置する。
軸28は中央貫通孔48を有する。ロッド50が孔48を介してチャンバ22の中に密封状態でスライドできるように挿入されている。ロッド50の上端部はエッジグリップ機構44の底部に堅く連結されているが、ロッド50の下端部は、ベアリング(図示せず)を介して装置20の外側にあるクロス・ビーム又はプレート52に連結されている。次いで、プレート52は直線駆動機構54に連結され、直線駆動機構54は、図1に示す実施形態では、プレート52に堅く連結されたそれぞれのピストン・ロッド56a、58aを有する一対の空気圧シリンダ56、58の形で作られる。
ハウジング21は、ゲート機構62によって開閉させることができるウェハ・ローディング・ポート60を有する。ローディング・ポート60は、カバー24を上げてポート60を介してウェハWを基板ホルダ26の中にロードするとき開けられる。この開放は、例えばローディング・ポート60と整列させた機械的アームの位置で、装置20の外側に取り付けられたロボット(図示せず)の機械的アームによって行われる。
装置20の周りに、図1に示す制御デバイスと補助デバイスが配置されている。これらのデバイスの形式と特性は装置の特定の目的と機能とによって異なるので、これらのデバイスは例として示されていることは理解できるであろう。
図1に示す実施形態では、補助システムは溶液と気体のための一群のタンクを含む。参照番号64は主堆積溶液供給タンクを指す。参照番号75bは、加工チャンバ22へ流体を供給するための管を示す。流体には液体又は気体を含み、この供給は三方弁77c(図1)で制御される。この三方弁は、第1位置と第1位置と第2位置への何れにも切り換え可能である。第1位置は、温度制御ユニット88、フィルタ92、管80を介してポンプ91によってタンク64から使用液を加工チャンバ22へ供給するための位置であり、第2位置は、水タンク80a’から供給管80aを介して水を供給する、又は管80bを介して気体リザーバ80b’から気体を供給するための位置である。図1において、参照番号88aは制御装置を指す。
装置はまた、例えば気体窒素をチャンバ22の内部に供給するため、又は例えば乾燥のために、チャンバ22から気体を急速排除するための第2圧縮気体供給管路78を含む。上述の堆積溶液管路80は、堆積溶液を基板Wの上からチャンバ22の中へ供給するためにタンク64に接続されている。参照番号79は、チャンバ22内の気体の内圧を測定するための圧力センサを示す。
参照番号66a、66b、66cなどは、無電解堆積のために必要な様々な化学剤や最終すすぎ用の脱イオン水を含むすすぎ溶液を貯蔵するための補助化学剤供給タンクを指す。参照番号68a、68b、68cなどは、タンク66a、66b、66cとハウジング21の内部、したがってチャンバ22の内部との接続を開閉する電磁弁を指す。
チャンバ22の内部から、溶液は、溶液戻り管路86を介して循環のためにタンク64へ戻る。溶液の温度制御は補助温度制御ユニット88によって実施されるが、溶液の温度は溶液戻り管路86の中に設置された温度センサ90によって常に測定される。
本発明による装置20の別の主要なデバイスは、エッジグリップ機構44の中に固定された基板Wの平面図である図2に示す化学剤分配アーム94である。化学剤分配アーム94は通常はチャンバ22の外側、例えば図2において破線で示された位置94’に位置する。この目的のために、化学剤分配アーム94の外端部は、破線で示す位置から実線で示す位置へアーム94を軸95の周りに回転させるための回転駆動機構(図示せず)に連結されている。
タンクのいくつかは、チャック26の中に確保された基板Wの処理された面S(図2)の上に供給するための湿潤液体を含み、面Sは管80と化学剤分配アーム94に向かって上向きにされている。基板の処理された面の上向きにの方向付けによって、処理された面上の気泡の蓄積が防止される。本発明の基板ホルダの構造は、静的条件の下で又は比較的低速度の使用液の流れにおいて、停滞区域の形成も防止する。
湿潤液体は、堆積のために使用される同じ使用液を含んでもよく、又は堆積に関与する薬剤と材料に対して中性の改良された湿潤特性を有する特定の液体を含んでもよい。これを例えば水又はアルコールにすることができる。
チャンバ22の底部32は、上向きに開いているカップ状のカバー支持体25を堅く支持している。カバー支持体25の上縁部27(図1)はまた傾斜して、カバーの縁部23に合致し、カバー24とカップ状カバー支持体25とによって区画された閉鎖空間を形成する。カバー24が下方に動くと、縁部23は縁部27の上に静止する。
カバー24は、チャンバ22の上部分に形成されたガイド開口部74の中にスライド式と密封式に取り付けられている。カバーを機械的に、例えば空気圧シリンダ76によって動かすことができる。カバー24の可動性の観点から、カバーとの液圧式と空気式連結部が、ホース又は可とう性配管の形で作られる。
チャンバ22はまた、別の堆積溶液供給管路81を備えており、この管路は、チャック26の下方からチャンバ24へポンプ93によって堆積溶液を供給するために、タンク64からチャンバ24の底部32に通じている。このような供給は、基板Wの面上に堆積溶液を加えるためにより良い条件を必要とする場合もある。
図3は、基板ホルダの概略縦断面図であり、この基板ホルダは、電気加熱器と、基板ホルダの本体の中に組み込まれた循環流体冷却器とを有する。図3に示す様に、加熱器・冷却器ユニット84は基板ホルダ26の本体の中に組み込まれ、加熱又は冷却するように働くことができる。加熱器83への電流の供給は、スライド式電流接点84a、84bを通じて実施され、これらのスライド式電流接点は、回転軸28(図1)上の集電環85a、85bと電気的接触状態にあり、これらの集電環は導線85cと85dによって加熱器83aに接続されている。
参照番号87は、やはりチャック26の本体の中に組み込まれて、技術的工程によって必要とされるときにチャックを急速冷却することを目的とする冷却ユニットを指す。冷却ユニット87を、脱イオン水などの冷却媒体が通過するためのらせん状チャネルの形に作ることができる。この目的のために、冷却ユニットを、冷却剤を有するリザーバ(図示せず)に連結してもよい。冷却剤の循環は、リザーバ(図示せず)と冷却ユニット87と間の線の中に設置されたポンプ(図示せず)を準備することで確証される。リザーバと冷却ユニット87との間で冷却剤を収集し分配するためのマニホルド97は、静止部分97aと軸28に取り付けられた回転部分97bとから構成され、これらの間にそれぞれの密封デバイス97c、97dが備えられている。
図4は、装置20の温度・圧力制御システム96の概略ブロック図である。このシステム96は、チャック加熱器83、冷却剤ポンプ89a、溶液加熱器88、溶液供給ポンプ91などの各作動ユニットを相互接続する制御装置98から構成され、これらの各作動ユニットは、それぞれのセンサと測定デバイス、すなわちチャック26(図3)内の熱電対84’、タンク(図示せず)内の冷却剤の温度を測定するための熱電対89’、加工チャンバ22における使用液の温度を測定するための熱電対90(図1)、圧力計99(図1)を有する。制御装置は、それぞれの作動機構(加熱器、ポンプなど)の動作を、それぞれの電源ユニット84”、88”、89a”、91”(図4)を介して制御する。
図5は、本発明の他の実施形態によるチャック加熱器/冷却器ユニット183の概略図である。ユニット183もまた、基板保持チャック126の本体の中に組み込まれており、加熱又は冷却の様式で働くことができる。この図に示す様に、チャック126は、チャック126の本体の中に組み込まれたペルティエ型加熱器・冷却器127を有し、電流が一方向に流れるときは熱発生、電流が逆方向に流れるときは熱吸収という原理で動作する、2つの半導体プレートのパッケージを含む。電流の方向は、半導体プレート上の極性を変えるスイッチ129を使用して変えられる。チャック加熱器/冷却器ユニット183の残り部分、すなわち回転部分などの間の電流伝達は図3に示すデバイス83におけるものと同じである。
ペルティエ型加熱器・冷却器127の準備によって、チャック126の加工面を、したがってさらに基板Wの加工面を加熱できるのみならず、ホルダ面と基板Wを急速冷却することもでき、このことは、急速冷却ができる加熱されたチャック面と組み合わせて溶液の低温度における溶液からの金属堆積に基づいて本発明の方法を実現するためには、重要なことである。
本発明の装置の動作
本発明の装置20(図1)において基板Wの面に所定の薄膜被覆を無電解で堆積を行うために、装置20のカバー24を上げ、手動で、又は装置20の近くに配置するか若しくは装置20の一部にすることができるロボット(図示せず)の機械的アームによって、装荷ポート60を介して、基板ホルダ26のエッジグリップ機構44の上に基板Wを置く。基板をグリップ機構44によって適所にクランプした後に、化学剤分配アーム94(図2)を介して溶液を供給する。この工程が完了すると、カバー24を降ろす。この結果、カバー24の縁部23はカバー支持体25の縁部27の上に静止する。カバー24の閉鎖は機構76によって実施される。チャンバ22全体はゲート62が閉じられた後に密封される。エッジグリップ機構は、基板ホルダ26のプレート状本体の中に降下する。エッジグリップ機構44の降下は、空気式シリンダ56と58を使用して実施される。
基板ホルダ26と、基板Wを支持するエッジグリップ機構44は、モータ36によって同期ベルト40とそれぞれの歯車34と38を介して回転し始める。同時に、1つ(又は複数)の堆積溶液を、チューブ75b、チューブ81、又はチューブ70(図1)を介して選択的にチャンバ22に供給する。基板の表面がまず濡らされて、次いで1つ又は複数の溶液が均一にチャンバ22の中に分配される。
典型的な動作モードでは、溶液は16℃〜95℃の温度でチャンバ22の中に供給される。
基板が加熱器(83、127)によって加熱され、溶液は、溶液の熱分解を防止する比較的低い温度で加工チャンバ22に供給される。主タンク64又は補助タンク66a、66b、66cなどから、化学剤分配アーム94を介して所望の順序で選択的に溶液を供給することができる。室温における溶液の供給によって、溶液の再循環速度の低下と溶液の使用寿命の延長が可能になる。この結果、処理されるウェハの単位当り製造コストを低下させることが可能になる。
溶液からの金属の効率的な堆積のために、基板ホルダ26に支持される基板Wの表面の温度は、16℃から120℃までの範囲内に維持される。溶液面と基板の表面の実際の最適温度は実験的に決定される。例えば、コバルトの堆積のためには、溶液の温度は50℃から120℃までの範囲内になければならない。上記範囲内の溶液温度によって、シリコン基板が16℃から140℃までのある温度に維持された場合には最良の結果を得ることができる。
必要な場合には、堆積溶液を、主溶液タンク64からポンプ93によって管路81を介してチャンバ底部32を通じて、チャンバ24に供給することもできる。
上述の様に、本発明の方法によれば、比較的低い溶液温度で堆積を実施することが好ましい。しかし必要な場合には、溶液に加熱器88により熱を加えることもでき、チャンバ22の中の圧力を、中性気体、例えばN2をチャンバ22に供給することによって上昇させることもできる。これらの動作はすべて制御装置98(図4)の制御の下で実施される。
実際には、堆積工程は、カバー24とカバー支持体25とによって区画された追加の閉鎖空間29(図1)の中で実施される。実施中、エッジグリップ機構44を有する基板ホルダ26とその中のウェハWは上述の閉鎖空間の中に置かれている。
堆積の実施が完了すると、ポンプ91による溶液の供給は停止され、溶液はタンク64に戻り、制御装置98(図4)はそれぞれの作動デバイス(加熱器、ポンプなど)に、これらのデバイスを活動化するために、これらの電源84”、88”を介して指令を送る。この場合、電磁弁のいくつかを閉じ、他の弁を開き、当技術分野で周知のその他の適切な機構を活動化するために、適切な指令が制御装置98によって送られる。
本発明の方法と装置の1つの主要な利点は、図3と5に示す形式の加熱器と冷却器を両方とも組み込む温度制御式基板ホルダの使用である。これによって、堆積工程のさらに柔軟な温度制御が可能になり、装置の技術的能力が広がる。例えば、いくつかの工程では、堆積工程を即時停止するために基板を極めて急速に冷却することが必要となる。
図3に示す基板ホルダを有する装置の実施中に、チャック26、したがって基板Wの面Sは、図4に示す温度・圧力制御ユニット96の制御の下で、電気加熱器83によって所望の温度に加熱される。スライド電流接点84a、84bを通じて電流が加熱器83に供給され、スライド電流接点は、導線85cと85dによって加熱器83に接続されている回転軸28上の集電環85a、85bに電気的に接続されている。
加熱器83を急速に冷却する必要がある場合には、これは、脱イオン水などの冷却媒体が通過するためにらせん形パネルの形でチャック26の本体の中に組み込まれた冷却ユニット87によって行われる。冷却剤の循環は、リザーバ89と冷却ユニット87との間の管路内に取り付けられたポンプ89aを提供することによって確実に行われる。
図5に示す組合せペルティエ型冷却器/加熱器の場合には、基板保持チャック126を1つの同じデバイスから加熱又は冷却することができる。電流の方向は、半導体プレート上の極性を変えるスイッチ129を使用して変えられる。ペルティエ型加熱・冷却器127を備えることによって、チャック126の加工面、したがって基板Wの加工面を加熱するのみならず、ホルダ面と基板Wを急速に冷却することが可能になり、このことは、急速冷却可能な加熱されたチャック面と組み合わせて低温で溶液から金属を堆積することに基づく本発明の方法を実行するためには、必須のものである。
したがって、本発明の装置と方法は、加熱された基板チャックと組み合わせて室温使用液を使用することに基づくものである。上記の温度制御サイクルを溶液の置換、溶液濃度の変化、溶液温度の変化などと組合せ可能であることに留意することは重要である。この方法と装置を、無電解堆積のために必要となる広範囲の様々な技術工程において使用することができる。本発明の方法のために重要で不可欠なことは、堆積溶液の温度と基板の温度との差を所定の値に調節して維持することである。
その上に、本発明は、処理しようとする基板の面が上向きであり、静的条件の下で、又は比較的低速度の使用液の流れにおいて停滞区域を形成することなく均一な堆積を可能にし、温度制御式基板ホルダと組み合わせて比較的低温で大量の使用液を使うことによる使用液の熱分解がなく、複雑な基板移動機構を使用することなく気泡のない堆積を可能にする、無電解堆積のための方法と装置を提供する。本発明の方法は、基板の上及び/又は使用液の容積全体における最適化された温度制御を特徴とする。
本発明を、特定の実施形態を参照して図示説明したが、これらの実施形態は単に例示としてのみ解釈されるべきであり、本発明の実際的な適用範囲を限定するものではない。したがって、技術的な工程、構造、材料、形状、その構成部分におけるいかなる変化と変更も、これらが特許請求の範囲から逸脱しないという条件で可能である。例えば本工程を、気体放出抑制などの高圧工程の利点を利用するために、上昇させた圧力の下で実施することができる。本工程を、本明細書に記載したものとは異なる基板と溶液との温度において実施することができる。
本発明の一実施形態によって製造された無電解装置の概略図である。 エッジグリップ機構に固定された基板Wの平面図である。 基板ホルダの本体の中に組み込まれた電気加熱器と循環流体冷却器とを有する基板ホルダの概略図である。 装置の温度と圧力制御システムのブロック図である。 循環流体冷却器と組み合わせたペルティエ型デバイスの形を呈する基板温度制御ユニットの概略縦断面図である。

Claims (23)

  1. 無電解堆積装置の加工チャンバ内で、ある堆積溶液温度にある堆積溶液からある基板温度にある基板の少なくとも1つの面に、ある材料を薄膜の形で無電解堆積する方法であって、
    前記装置に基板冷却器と基板加熱器とを備えた基板ホルダを準備するステップと、
    前記基板を、前記基板ホルダの中に、基板の前記少なくとも1つの面が上向きになる姿勢で置くステップと、
    前記堆積溶液を前記装置の中に供給するステップと、
    前記堆積溶液温度と前記基板温度との差を、少なくとも前記基板冷却器と基板加熱器とを使用して、所定の値に調節するステップと、
    前記堆積溶液温度と前記基板温度との前記差を、前記所定の値に維持するステップと、
    前記無電解堆積を行うステップと、
    前記薄膜が所定の厚さに達すると前記無電解堆積を停止するステップと
    を含む方法。
  2. 前記堆積溶液温度が前記基板温度より低い請求項1に記載の方法。
  3. 前記堆積溶液温度を16℃から120℃までの範囲内で選択することができ、前記基板温度を16℃から140℃までの範囲内で選択することができる請求項2に記載の方法。
  4. 制御された圧力下の気体を前記加工チャンバの中に供給するステップをさらに含む請求項1に記載の方法。
  5. 前記堆積溶液温度が前記基板温度より低い請求項4に記載の方法。
  6. 前記堆積溶液温度を16℃から120℃までの範囲内で選択することができ、前記基板温度を16℃から140℃までの範囲内で選択することができる請求項5に記載の方法。
  7. 前記基板冷却器と基板加熱器が、加熱器又は冷却器として選択的に機能することができる1つの同じユニットを含む請求項1に記載の方法。
  8. 前記堆積溶液温度が前記基板温度より低い請求項7に記載の方法。
  9. 前記堆積溶液温度を16℃から120℃までの範囲内で選択することができ、前記基板温度を16℃から140℃までの範囲内で選択することができる請求項8に記載の方法。
  10. 前記堆積溶液が室温で前記加工チャンバに供給され、前記基板ホルダ温度が室温を超えている請求項1に記載の方法。
  11. 前記堆積溶液を前記装置へ供給する前記ステップが、基板の前記少なくとも1つの面を濡らすことから開始される請求項1に記載の方法。
  12. 前記堆積溶液温度が前記基板温度より低い請求項11に記載の方法。
  13. 前記堆積溶液温度を16℃から120℃までの範囲内で選択し、前記基板温度を16℃から140℃までの範囲内で選択する請求項12に記載の方法。
  14. 制御された圧力下の気体を前記加工チャンバの中に供給するステップをさらに含む請求項11に記載の方法。
  15. 前記堆積溶液温度が前記基板温度より低い請求項14に記載の方法。
  16. 前記堆積溶液温度を16℃から120℃までの範囲内で選択し、前記基板温度を16℃から140℃までの範囲内で選択する請求項15に記載の方法。
  17. 前記基板冷却器と基板加熱器が、加熱器又は冷却器として選択的に機能する1つの同じユニットを含む請求項11に記載の方法。
  18. 前記堆積溶液温度が前記基板温度より低い請求項17に記載の方法。
  19. 基板の少なくとも1つの面の上に薄膜の形で堆積溶液から材料を無電解堆積させる装置であって、
    内部と除去可能カバーとを有し、前記除去可能カバーは前記内部に面する内側を有する加工チャンバと、
    前記加工チャンバの中の基板ホルダであって、前記除去可能カバーの下に位置し、前記基板ホルダの中で前記基板を掴んでクランプする基板グリップ機構を有し、基板の前記少なくとも1つの面は前記除去可能カバーに面している、基板ホルダと、
    少なくとも1つの堆積溶液を前記加工チャンバの中に供給する少なくとも1つの溶液供給手段と、
    前記基板ホルダの中に取り付けられた少なくとも1つの加熱ユニットと少なくとも1つの冷却ユニットとを含む、基板温度制御手段と、
    前記堆積溶液を基板の前記少なくとも1つの面の上に供給するための、少なくとも1つの堆積溶液供給手段と
    を含む装置。
  20. 圧力下の気体を前記加工チャンバの中に供給するための気体供給手段をさらに備えた請求項19に記載の装置。
  21. 前記基板ホルダの中に取り付けられた前記の少なくとも1つの加熱ユニットと少なくとも1つの冷却ユニットが、加熱器又は冷却器として選択的に機能する1つの同じユニットを含む請求項20に記載の装置。
  22. 前記の1つの同じユニットがペルティエ型加熱・冷却器である請求項21に記載の装置。
  23. 前記加熱ユニットが電気加熱ユニットを含み、前記冷却ユニットが、冷却媒体が前記らせんを通って流れるチャネルを含む請求項20に記載の装置。
JP2004536053A 2002-09-13 2003-08-26 温度制御型チャックによる無電解堆積のための方法と装置 Pending JP2006506520A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/242,331 US6846519B2 (en) 2002-08-08 2002-09-13 Method and apparatus for electroless deposition with temperature-controlled chuck
PCT/US2003/026698 WO2004024983A2 (en) 2002-09-13 2003-08-26 Method and apparatus for electroless deposition with temperature-controlled chuck

Publications (1)

Publication Number Publication Date
JP2006506520A true JP2006506520A (ja) 2006-02-23

Family

ID=31991389

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004536053A Pending JP2006506520A (ja) 2002-09-13 2003-08-26 温度制御型チャックによる無電解堆積のための方法と装置

Country Status (6)

Country Link
US (1) US6846519B2 (ja)
EP (1) EP1579029A3 (ja)
JP (1) JP2006506520A (ja)
KR (1) KR101017103B1 (ja)
AU (1) AU2003265687A1 (ja)
WO (1) WO2004024983A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010525164A (ja) * 2007-04-16 2010-07-22 ラム リサーチ コーポレーション ウエハ無電解めっきシステムおよびその関連方法
JP2012136783A (ja) * 2005-12-02 2012-07-19 Tokyo Electron Ltd 無電解めっき装置、無電解めっき方法およびコンピュータ読取可能な記憶媒体

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US7189313B2 (en) * 2002-05-09 2007-03-13 Applied Materials, Inc. Substrate support with fluid retention band
US7235483B2 (en) * 2002-11-19 2007-06-26 Blue29 Llc Method of electroless deposition of thin metal and dielectric films with temperature controlled stages of film growth
US20040258848A1 (en) * 2003-05-23 2004-12-23 Akira Fukunaga Method and apparatus for processing a substrate
JP4467571B2 (ja) * 2003-09-19 2010-05-26 アプライド マテリアルズ インコーポレイテッド 無電解堆積のエンドポイントを検出するための装置および方法
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7323058B2 (en) * 2004-01-26 2008-01-29 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7874260B2 (en) * 2006-10-25 2011-01-25 Lam Research Corporation Apparatus and method for substrate electroless plating
US7829152B2 (en) * 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
US20060062897A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
JP2006241580A (ja) * 2005-03-07 2006-09-14 Ebara Corp 基板処理方法及び基板処理装置
US7789962B2 (en) * 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7845308B1 (en) 2005-10-26 2010-12-07 Lam Research Corporation Systems incorporating microwave heaters within fluid supply lines of substrate processing chambers and methods for use of such systems
JP4746443B2 (ja) * 2006-02-27 2011-08-10 株式会社東芝 電子部品の製造方法
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
EP2034049A1 (en) * 2007-09-05 2009-03-11 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO An electroless process for depositing a metal on a non-catalytic substrate
US8404626B2 (en) 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
TWI460305B (zh) * 2010-11-30 2014-11-11 Ind Tech Res Inst 化學水浴法鍍膜設備
US9752231B2 (en) 2012-05-11 2017-09-05 Lam Research Corporation Apparatus for electroless metal deposition having filter system and associated oxygen source
JP5534494B1 (ja) * 2012-07-13 2014-07-02 国立大学法人東北大学 エッチング方法
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
JP6611666B2 (ja) * 2016-05-16 2019-11-27 東京エレクトロン株式会社 載置台システム、基板処理装置及び温度制御方法
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10295489B2 (en) 2016-09-12 2019-05-21 Ecolab Usa Inc. Deposit monitor
US10816285B2 (en) * 2017-02-24 2020-10-27 Ecolab Usa Inc. Thermoelectric deposit monitor
US11953458B2 (en) 2019-03-14 2024-04-09 Ecolab Usa Inc. Systems and methods utilizing sensor surface functionalization

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4324854A (en) * 1980-03-03 1982-04-13 California Institute Of Technology Deposition of metal films and clusters by reactions of compounds with low energy electrons on surfaces
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
ES2054357T3 (es) 1989-05-08 1994-08-01 Philips Nv Aparato y metodo para tratar substratos planos bajo una presion reducida.
US6042712A (en) 1995-05-26 2000-03-28 Formfactor, Inc. Apparatus for controlling plating over a face of a substrate
US6090261A (en) * 1995-05-26 2000-07-18 Formfactor, Inc. Method and apparatus for controlling plating over a face of a substrate
US5775416A (en) 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
US6168695B1 (en) 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
KR100273362B1 (ko) * 1997-06-11 2001-01-15 구자홍 자동음량보정방법및장치
KR100586481B1 (ko) * 1997-09-02 2006-11-30 가부시키가이샤 에바라 세이사꾸쇼 기판을도금하는방법
KR100691201B1 (ko) 1998-07-10 2007-03-08 세미툴 인코포레이티드 무전해 도금 및 전기 도금을 사용하는 구리 도금 방법 및그 장치
US6221437B1 (en) * 1999-04-12 2001-04-24 Reynolds Tech Fabricators, Inc. Heated workpiece holder for wet plating bath
KR20020074175A (ko) 2000-10-26 2002-09-28 가부시키 가이샤 에바라 세이사꾸쇼 무전해도금장치 및 방법
US6858084B2 (en) * 2000-10-26 2005-02-22 Ebara Corporation Plating apparatus and method
JP2002256486A (ja) * 2001-03-06 2002-09-11 Tokyo Electron Ltd 液処理装置及び液処理方法
US6843852B2 (en) 2002-01-16 2005-01-18 Intel Corporation Apparatus and method for electroless spray deposition
US20030152690A1 (en) * 2002-02-07 2003-08-14 Yuh Sung Method for operating and controlling electroless plating

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012136783A (ja) * 2005-12-02 2012-07-19 Tokyo Electron Ltd 無電解めっき装置、無電解めっき方法およびコンピュータ読取可能な記憶媒体
JP2010525164A (ja) * 2007-04-16 2010-07-22 ラム リサーチ コーポレーション ウエハ無電解めっきシステムおよびその関連方法

Also Published As

Publication number Publication date
AU2003265687A8 (en) 2004-04-30
US6846519B2 (en) 2005-01-25
KR20050056209A (ko) 2005-06-14
EP1579029A2 (en) 2005-09-28
WO2004024983A3 (en) 2005-10-27
US20040052963A1 (en) 2004-03-18
WO2004024983A2 (en) 2004-03-25
KR101017103B1 (ko) 2011-02-25
AU2003265687A1 (en) 2004-04-30
EP1579029A3 (en) 2005-12-14

Similar Documents

Publication Publication Date Title
US6846519B2 (en) Method and apparatus for electroless deposition with temperature-controlled chuck
US6908512B2 (en) Temperature-controlled substrate holder for processing in fluids
US6913651B2 (en) Apparatus and method for electroless deposition of materials on semiconductor substrates
JP4875492B2 (ja) 無電解堆積のための装置
US7648913B2 (en) Method of electroless deposition of thin metal and dielectric films with temperature controlled stages of film growth
JP3960774B2 (ja) 無電解めっき装置及び方法
US7690324B1 (en) Small-volume electroless plating cell
US7169705B2 (en) Plating method and plating apparatus
US20060037858A1 (en) Electroless plating apparatus and electroless plating method
WO2003014416A2 (en) Plating device and method
US7341634B2 (en) Apparatus for and method of processing substrate
JP2007525595A (ja) メッキ装置及び方法
JP2003129251A (ja) めっき装置
JP3485561B1 (ja) 無電解メッキ方法および無電解メッキ装置
US7332198B2 (en) Plating apparatus and plating method
CN1965105A (zh) 带有温控卡盘的无电沉积方法和设备
JP3985857B2 (ja) 無電解めっき装置及び無電解めっき方法
US20050061659A1 (en) Plating apparatus and plating method
TWI417962B (zh) 電沉積系統
JP3886383B2 (ja) めっき装置及びめっき方法