JP2006505116A - 半導体デバイス製造のためのゲート材料 - Google Patents

半導体デバイス製造のためのゲート材料 Download PDF

Info

Publication number
JP2006505116A
JP2006505116A JP2004547063A JP2004547063A JP2006505116A JP 2006505116 A JP2006505116 A JP 2006505116A JP 2004547063 A JP2004547063 A JP 2004547063A JP 2004547063 A JP2004547063 A JP 2004547063A JP 2006505116 A JP2006505116 A JP 2006505116A
Authority
JP
Japan
Prior art keywords
layer
gate
substrate
dopants
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004547063A
Other languages
English (en)
Other versions
JP4796771B2 (ja
JP2006505116A5 (ja
Inventor
ロックトフェルド,アンソニー,ジェイ
アントニアディス,ディミトリ
キュリー,マシュー,ティー
Original Assignee
アンバーウェーブ システムズ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=32176537&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2006505116(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by アンバーウェーブ システムズ コーポレイション filed Critical アンバーウェーブ システムズ コーポレイション
Publication of JP2006505116A publication Critical patent/JP2006505116A/ja
Publication of JP2006505116A5 publication Critical patent/JP2006505116A5/ja
Application granted granted Critical
Publication of JP4796771B2 publication Critical patent/JP4796771B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

電子デバイスを形成する際、半導体層を予めドープして、ドーパント分布アニールをゲート画定前に行う。場合によっては、ゲートは金属から形成されている。したがって、続いて形成される浅いソースおよびドレインは、ゲートアニールステップの影響を受けない。

Description

関連出願
本出願は、2002年10月22日に出願された米国特許仮出願第60/420227号の利益を主張し、その開示内容全体は、参照により本明細書に組み込まれている。
技術分野
本発明は、一般的には半導体デバイスに関し、特に歪み層を備えている半導体基板上に形成された半導体構造に関する。
金属-酸化物-半導体電界効果トランジスタ(MOSFET)を形成するには、ソース領域およびドレイン領域を画定するために、例えばシリコン(Si)にドーパントを導入することが必要である。ドーパントは、所望の導電率を得るために、多結晶シリコン(ポリシリコン)のようなゲート材料にも導入される。そして、所要の電気特性を得るために、ソース、ドレインおよびゲート(S/D/G)領域に添加されたドーパントを熱処理により活性化する。品質の良いn型MOS(NMOS)デバイスを得るには、ポリシリコンの空乏効果を回避するために、典型的には高温、例えば1273K(1000℃)以上で5秒間ドーパントの活性化を行う。ポリシリコンが空乏化しているゲートでは、ドーパントの分布は不均一であり、ゲート誘電体との境界付近のドーパントの濃度も比較的低い。この空乏領域によって、デバイス動作中のゲートの静電容量は減少し、さらにこれによってトランジスタの駆動電流が低くなる。
歪みSiのような歪み層を備えている基板上で画定された領域内のドーパントの活性化には課題がある。歪みSi基板は、例えば4〜40nm(40〜400Å)の厚みを有する薄い歪みSi層からなる。この歪みSi層は、第2の材料、例えば緩和SiGe層上に設けられている。圧縮歪みSiGe層は、歪みSi層の上にまたは下に設けることができる。このような層構造によって、特に歪みSi/SiGe基板が高温に晒される場合、例えば相補型MOS(CMOS)デバイスで浅いソース/ドレイン接合を維持することが困難となる。このような問題は、SiGeおよびSiでのドーパントの異なる拡散速度によって生じる。例えば、ヒ素(As)はSiGe中で、1173K(900℃)でかつ/または30秒より著しく長い時間では、Siよりはるかに急速に拡散する。このような急速な拡散は、歪みSi/SiGe基板上に製造されたNMOSトランジスタにおけるより深いソース/ドレイン接合を生じさせ、かつ/またはドーパントがゲート下での側方への過剰拡散、つまりチャンネル領域内への過剰拡散を引き起こす。AsがNMOSトランジスタのチャンネル内へ拡散することによって、トランジスタは高いOFF電流(Ioff)を有するようになり、OFFにすることがより困難となる。
別の構造では、歪み層の下に設けられる第2の材料は、例えばバルク半導体基板または絶縁体材料である。この場合も、浅いソース/ドレイン接合を維持することまたはドーパントの側方への過剰拡散を防止することは、特に、歪み構造が高温に晒される場合には困難となりうる。この問題は、歪み層でのドーパントの拡散速度がバルク状の非歪み材料の拡散速度とは異なることによって生じる。例えば、ホウ素は、歪みSi中ではバルクSi中でより早く拡散する。
可能である解決方法は、S/D/Gドーパント活性化を、制限された時間および温度(例えば1173K(900℃)で30秒)で行うことである。しかし、このような制限されたパラメータによっても、許容されないポリシリコンの空乏効果が生じうる。
発明の概要
半導体層を予めドーピングし、ゲート画定前にドーパント分布のためのアニールを行う。浅いソースおよびドレインは、その後に形成されるので、ゲートのアニールステップの影響を受けない。
手段
一実施態様では、本発明は、構造を形成する方法に関し、この方法は、基板上に、約2nm(約20Å)より薄い厚みを有する空乏領域を備えている層を形成することを含む。そして、層の一部を除去してトランジスタのゲートを画定し、このゲートがチャンネル長さを規定する。複数のドーパントをゲート付近の基板に導入してソースおよびドレインを画定し、導入された複数のドーパントが活性化する温度に基板を加熱する。この温度は、複数のドーパントの少なくとも一部が拡散して高いOFF電流が生じてしまうことを防止するには十分に低くなっている。
この方法は、以下の1つまたは複数の特徴を有している。基板は、絶縁層を含むことができる。歪み層は、この絶縁層上に設けることができる。基板は、歪み層を含むことができる。この歪み層は、引張り歪みまたは圧縮歪みを有している。また、基板は、緩和層を含むことができる。基板はゲルマニウムからなる。空乏領域の厚みは、1nm(10Å)より薄い。
誘導されたOFF電流は、1マイクロメートル当たり10−6アンペアより小さく、好ましくは、1マイクロメートル当たり10−9アンペアより小さい。
複数のドーパントを導入した後には、ソースの領域に導入された複数のドーパントの一部が、チャンネル付近でソース限界を画定する。基板の加熱後には、このソース限界は、ゲート下で広がり、その広がる距離はチャンネル長さの12.5%よりも短い。ソース限界でのドーパント成分の濃度は、少なくとも約1018原子/立方センチメートルである。
複数のドーパントを導入した後には、ドレインの領域に導入された複数のドーパントの一部が、チャンネル付近でドレイン限界を画定する。基板の加熱後、このドレイン限界は、ゲート下で広がり、その広がる距離はチャンネル長さの12.5%よりも短い。ドレイン限界でのドーパント成分の濃度は、少なくとも約1018原子/立方センチメートルである。
層は、半導体材料からなっており、この層を形成するステップは、層内に複数のゲートドーパントを導入すること、および層内のゲートドーパントの分布を変化させる第1の温度に層を加熱することを含む。この半導体は、シリコンおよび/またはゲルマニウムを含む。
層は、金属元素、例えばモリブデン、チタン、タンタル、タングステン、イリジウム、ニッケル、コバルトおよび白金の少なくとも1つを含む。
別の態様では、本発明は、構造を形成する方法に関し、この方法は、基板上に設けられたゲート電極層内に第1の複数のドーパントを導入することを含む。そして、ゲート電極層を、ゲート電極層内の第1の複数のドーパントの分布を変化させる第1の温度に加熱する。ゲート電極層の一部を除去して、トランジスタのゲートを画定する。第2の複数のドーパントをゲート付近の基板内へ導入して、ソースおよびドレインを画定する。基板を、第2の複数のドーパントが活性化する第2の温度に加熱し、この第2の温度は第1の温度よりも低い。
この方法は、以下の1つまたは複数の特徴を有している。基板は、絶縁体層を含む。基板は、この絶縁体層上に設けられた歪み層を含む。
基板は、歪み層を含む。この歪み層は、引張り歪みまたは圧縮歪みを有している。また、基板は、緩和層を含む。基板はゲルマニウムからなっている。
第1の温度は、1273K(1000℃)より大きくすることができる。第2の温度は、1273K(1000℃)より小さくすることができる。
ゲート電極層は、シリコンおよび/またはゲルマニウムのような半導体層を含む。
第1の複数のドーパントおよび第2の複数のドーパントは、n型ドーパントおよび/またはp型ドーパントである。
さらに別の態様では、本発明は、構造を形成するための方法に関し、この方法は、基板上に設けられたゲート電極層内に第1の複数のドーパントを導入することを含む。そして、半導体層を、ゲート電極層内の第1の複数のドーパントの分布を変化させる第1の時間で加熱する。ゲート電極層の一部を除去して、トランジスタのゲートを画定する。第2の複数のドーパントをゲート付近の基板内に導入して、ソースおよびドレインを画定する。基板を、第2の複数のドーパントを活性化させる第2の時間で加熱する。この第2の時間は、第1の時間よりも短い。
この方法は、以下の1つまたは複数の特徴を有している。基板は、絶縁体層を含む。基板は、この絶縁体層上に設けられた歪み層を含む。基板は、歪み層を含む。歪み層は、引張り歪みまたは圧縮歪みを有している。基板は、緩和層を含む。基板は、シリコンおよびゲルマニウムの少なくとも1つからなっている。
第1の時間は5秒よりも長い。ある実施例では、第1の時間は30秒より長い。
ゲート電極層は、半導体層を含む。この半導体層は、シリコンおよび/またはゲルマニウムからなっている。
第1の複数のドーパントおよび第2の複数のドーパントは、n型ドーパントおよび/またはp型ドーパントからなっている。
別の態様では、本発明は、基板上に設けられた歪み層を有する構造に関する。この構造では、第1のトランジスタは、第1のソースおよび第1のドレインを有しており、第1のソースおよび第1のドレインの少なくとも一部は、歪み層の第1の部分に設けられている。第1のゲートは、歪み層上にありかつソース領域とドレイン領域との間に設けられており、第1の材料を含んでいる。第1のゲート誘電体層が、第1のゲートと歪み層との間に設けられている。
この構造は、以下の1つまたは複数の特徴を有している。基板は、誘電体材料を含んでおり、歪み層は、この誘電体層と接触して設けられている。第1の材料は、チタン、タングステン、モリブデン、タンタル、ニッケル、コバルトおよび白金からなる群から選択することができる。歪み層は、シリコンおよび/またはゲルマニウムからなっている。ゲートは、金属-半導体合金からなっている。別の態様では、ゲートは、金属シリサイドのみを含んでいる。
チャンネルは、ゲートの下に形成される。ソースは、チャンネル付近でソース限界を有しており、ソース限界はゲート下で、チャンネル長さの12.5%より短い距離だけ延びている。ソース限界のドーパントの濃度は、少なくとも約1018原子/立方センチメートルである。ドレインは、チャンネル付近でドレイン限界を有しており、ドレイン限界はゲート下で、チャンネル長さの12.5%より短い距離だけ延びている。ドレイン限界のドーパントの濃度は、少なくともほぼ1018原子/立方センチメートルである。
この構造は、第2のトランジスタを有しており、この第2のトランジスタは、第2のソースおよび第2のドレインを有している。この第2のソースおよび第2のドレインの少なくとも一部は、歪み層の第2の部分に設けられている。第2のゲートは、歪み層上にあり、かつソース領域とドレイン領域との間に設けられており、第2の材料を含んでいる。第2のゲート誘電体層は、第2のゲートと歪み層との間に設けられている。第1のトランジスタは、n型金属-酸化物-半導体電界効果トランジスタであり、第1のソースおよび第1のドレインは、n型ドーパントを含んでいる。第2のトランジスタは、p型金属-酸化物-半導体電界効果トランジスタであり、第2のソースおよび第2のドレインは、p型ドーパントからなっている。
第1のゲートは、第1の仕事関数を有しており、第2のゲートは、第2の仕事関数を有している。第1の仕事関数は、第2の仕事関数と実質的に等しいかまたは第2の仕事関数と実質的に異なる。
図1に、本発明に適用可能なエピタキシャルウェハ100を示す。この図1を参照すると、歪み層102および緩和層104を含む複数の層が基板106上に設けられており、これらの複数の層全体を符号101で表す。以下、引張り歪みを有する歪み層に関して議論するが、歪み層102は、引張り歪みまたは圧縮歪みを有している。歪み層102は、それを形成する材料の平衡格子定数とは異なる格子定数を有しており、引張り歪みまたは圧縮歪みを有している。一方、緩和層104は、それを形成する材料の平衡格子定数に等しい格子定数を有している。引張り歪み層102と緩和層104とは、境界面108を共有している。
基板106および緩和層104は、II族、III族、IV族、V族およびVI族の元素の様々な組み合わせを含む様々な材料系から形成することができる。例えば、基板106および緩和層104は、III-V族化合物からなっている。基板106は、ガリウムヒ素(GaAs)を含んでおり、緩和層104は、インジウムガリウムヒ素(InGaAs)またはアルミニウムガリウムヒ素(AlGaAs)からなっている。これらの化合物は単なる例にすぎず、基板106および緩和層104には別の多くの材料系が適している。
一実施態様では、緩和層104は、均一な組成を有するSi1−xGeからなっており、例えば0.1≦x≦0.9の範囲でGeを含有していて、例えば0.2〜2μmの厚みTを有している。別の態様では、Tは1.5μmである。
歪み層102は、半導体、例えばII族、III族、IV族、V族およびVI族の元素のうち少なくとも1つを含んでいる。歪み半導体層102は、例えば、Si、Ge、SiGe、GaAs、インジウムリン(InP)および/または亜鉛セレン(ZnSe)からなっている。別の態様では、歪み半導体層102は、Ge約100%からなっていて、圧縮歪みを有している。例えば、Ge100%からなる歪み半導体層102を、例えば50〜90%(つまりx=0.5〜0.9)、好ましくは70%(つまりx=0.7)のGe含有量を有する均一なSi1−xGeを含む緩和層104の上に形成することができる。
一実施態様では、引張り歪み層102はシリコンから形成されている。引張り歪み層102は、例えば5〜100nm(50〜1000Å)の厚みTを有している。別の一態様では、厚みTは20nm(200Å)より薄い。
緩和層104および歪み層102は、常圧CVD(APCVD)法、低圧(または減圧)CVD(LPCVD)法、超高真空CVD(UHVCVD)法、分子線エピタキシ(MBE)法または原子層堆積(ALD)法のようなエピタキシ法によって形成することができる。Siを含む歪み層102は、シラン、ジシランまたはトリシランのような前駆体を使用するCVD法によって形成することができる。Geを含む歪み層102は、ゲルマンまたはジゲルマンのような前駆体を使用するCVD法によって形成することができる。また、エピタキシャル成長システムは、シングルウェハまたはマルチプルウェハのバッチ反応装置である。成長システムには、層成長の反応速度を増大させるために低エネルギープラズマを利用することもできる。
歪み層102がSiを実質的に100%含んでいる実施態様では、歪み層102は、Ge源ガスに晒されない堆積手段の専用チャンバ内で形成することができ、これにより、交差汚染が回避され、歪み層102と緩和層104との間の境界面の品質が向上する。さらに、歪み層102は、同位体に関して純粋なシリコン前駆体から形成することができる。同位体に関して純粋なSiは、従来のSiより良好な熱伝導度を有している。熱伝導度がより高くなることで、歪み層102上に続いて形成されるデバイスからの熱分散が促進され、これにより、歪み層102によって増大されたキャリアの移動度が維持される。
別の一態様では、緩和層104および/または歪み層102は、例えばCMPにより平坦化することができ、これにより、続いて行われるウェハ接合の品質が向上する。歪み層102の表面粗さは小さく、例えば0.5ナノメートル(nm)二乗平均平方根(RMS)である。
図2を参照すると、本発明で適用可能なエピタキシャルウェハ100の別の態様は、図1に示した層に加えてさらに複数の層を有している。例えば、シリコンのような半導体から形成されている基板200の上に、複数の層が形成されており、その全体を符号202で示す。層202は、例えばAPCVD、LPCVDまたはUHVCVDにより成長させることができる。
層202は、基板200上に設けられた傾斜層204を含む。傾斜層204は、SiおよびGeを含んでいて、例えば厚み1μmあたりGe10%の傾斜率ならびに例えば2〜9μmの厚みTを有している。傾斜層204は、例えば873〜1473K(600〜1200℃)で成長させることができる(例えば、その内容全体が参照により本明細書に組み込まれている米国特許第5221413号明細書を参照)。緩和層104は、傾斜層204上に設けられている。仮想基板206は、緩和層104および傾斜層204を含む。
半導体材料を含んでいる圧縮歪み層208は、緩和層104上に設けられている。一実施態様では、圧縮歪み層208は、IV族元素、例えばSi1−yGeからなっていて、その場合、Ge含有量(y)は、緩和Si1−xGe層104のGe含有量(x)よりも高い。圧縮歪み層208は、例えば0.25≦y≦1の範囲のGeを含有していて、例えば1〜50nm(10〜500オングストローム(Å))の厚みTを有している。別の態様では、圧縮歪み層208は、50nm(500Å)より小さい厚みTを有している。特定の態様では、Tは20nm(200Å)より小さい。
引張り歪み層102は、圧縮歪み層208上に設けられており、圧縮歪み層208とは境界面210を共有している。別の一態様では、圧縮歪み層208は、引張り歪み層102の上でなく、下に設けることができる。
複数の層202を備えている基板200は、典型的には、10〜10/cmの貫通転位密度を有している。
図3を参照すると、本発明で適用可能なさらに別のエピタキシャルウェハが、歪みセミコンダクタ・オン・セミコンダクタ基板、つまりSSOS基板300であり、結晶半導体支持基板310と接触して設けられている歪み層102を有している。支持ウェハ310は、シリコンのようなバルク半導体材料からなっている。歪み層102の歪みは、下層の支持ウェハ310によって導入されているのではなく、歪み層102と支持ウェハ310との間のどんな格子不整合からも独立している。一実施態様では、歪み層102および支持ウェハ310は、同じ半導体材料、例えばシリコンを含む。支持ウェハ310は、歪みがない場合の歪み層102の格子定数に等しい格子定数を有している。歪み層102は、約10−3より大きい歪みを有している。歪み層102は、エピタキシ法によって形成され、約2nm〜約100nm(約20Å〜約1000Å)の範囲の厚みTを有しており、約±10%よりも良好な厚み均一性を有している。一実施態様では、歪み層102は、約±5%よりも良好な厚み均一性を有している。歪み層102は、2nm(20Å)よりも小さな表面粗さを有している。
SSOS基板300は、米国特許第10/456708号明細書、米国特許第10/456103号明細書、米国特許第10/264935号明細書および米国特許第10/629498号明細書の記載のように形成することができ、これら4つの出願の開示内容全体はそれぞれ、参照により本明細書に組み込まれている。SSOS基板の形成プロセスは、図1を参照して前述したように、基板106上での歪み層102の形成を含むことができる。劈開面を、例えば緩和層104で規定することができる。歪み層102を支持ウェハ310に接合し、前記劈開面で分割を行うことができる。歪み層102に残った緩和層104の部分は、例えば酸化および/または湿式エッチングによって除去することができる。
本発明に適用可能なさらに別のエピタキシャルウェハは、歪みセミコンダクタ・オン・インシュレータ(SSOI)基板400である。図4を参照すると、SSOI基板400は、絶縁体、例えば半導体基板420上に形成された誘電体層の上に設けられている歪み層102を有している。SSOI基板400は、前述のSSOS基板300を形成する方法と同様の方法で形成することができる。誘電体層410は、例えばSiOを含む。一実施態様では、誘電体層410は、純粋なSiOの融点Tよりも高い、つまり1973K(1700℃)よりも高い融点Tを有する材料からなっている。このような材料の例は、窒化ケイ素(Si)、酸化アルミニウム、酸化マグネシウムなどである。別の態様では、誘電体層410は、SiOよりも高い誘電定数を有する高誘電率材料、例えば酸化アルミニウム(Al)、酸化ハフニウム(HfO)またはハフニウムシリケート(HfSiONまたはHfSiO)からなっている。半導体基板420は、例えばSi、Ge、SiGeのような半導体材料からなっている。歪み層102は、例えば5〜100nm(50〜1000Å)の範囲から選択される厚みTを有しており、約±5%より良好な厚み均一性および約2nm(約20Å)より小さい表面粗さを有している。誘電体層410は、例えば50〜300nm(500〜3000Å)の範囲から選択される厚みTを有している。一実施態様では、歪み層102は、Si約100%またはGe約100%からなっており、1つまたは複数の以下の材料特性、例えば0〜10cm/cmのミスフィット転位密度、約10〜10転位/cmの貫通転位密度、約0.01〜1nmRMSの表面粗さ、所望の平均厚みの約±10%より良好な、SSOI基板400を横切る厚み均一性、ならびに約20nm(約200Å)より小さい厚みTを有している。一実施態様では、SSOI基板400は、所望の平均厚みの約±5%より良好な厚み均一性を有している。
別の態様では、誘電体層410は、SiOよりも高い融点Tを有している。後続のプロセス中、例えばMOSFET形成中に、SSOI基板400を高い温度、つまり1373K(1100℃)までの温度に晒すことができる。高温にすると、歪み層102と誘電体層410との間の境界面430での歪み層102の緩和が生じうる。しかし、1973K(1700℃)よりも高い融点Tを有する誘電体層410を使用することによって、SSOI基板が高温に晒された場合に起こる歪み層102と誘電体層410との間の境界面430での緩和を回避して歪み層102の維持を助成することができる。
別の態様では、歪み層102のミスフィット転位密度は、その初期転位密度よりも低い。初期転位密度は、例えば、歪み層102の最上面440のエッチングを行うことによって低下させることができる。このエッチングは、湿式エッチング、例えばRCAのSC1、つまり過酸化水素、水酸化アンモニウムおよび水(H+NHOH+HO)を使用して行うような標準的なマイクロエレクトロニクス技術による洗浄ステップであり、このエッチングにより、例えば353K(80℃)でケイ素を除去することができる。
別の態様では、層202を備えている基板210を、様々なCMOSの前工程、例えばウェルの画定および絶縁層の形成によって処理する(図示せず)。
図5を参照すると、ゲート誘電体層500は、歪み層102の最上面510上に形成されている。ゲート誘電体層500は、例えば、熱成長させた二酸化ケイ素(SiO)のようなゲート酸化物である。別の態様では、ゲート誘電体層500は、SiOの誘電定数よりも高い誘電定数を有する高誘電率材料、例えば酸化アルミニウム(Al)、酸化ハフニウム(HfO)またはハフニウムシリケート(HfSiONまたはHfSiO)からなっている。別の態様では、ゲート誘電体層500は、積層構造、例えば、高誘電率材料を被せた薄いSiO層である。ゲート電極層520は、ゲート誘電体層500上に形成されている。ゲート電極層520は、例えばポリシリコン、アモルファスシリコン、GeまたはSiGeゲート材料からなっている。
図6を参照すると、注入マスク600が、ゲート電極層520上に形成されている。注入マスク600は、フォトレジストのようなマスキング材料からなっている。この注入マスク600は、開口610を画定しており、この開口610は、ゲート電極層520の一部620(図示のために点線で表す)を露出させている。ゲート電極層部分620は、基板200および層202の領域630の、NMOSデバイスが形成される部分に設けられている。注入マスク600は、基板200および層202の、NMOSデバイスが形成されない領域上に設けられたゲート電極層520の最上面640の部分を保護している。図示の実施例では、注入マスクは、NMOSゲートが画定される領域620のみを露出させている(以下を参照)。別の態様では、注入マスク600は、基板200および層202の、n型ソースおよびドレインが形成される領域を含む、NMOSデバイスが形成される領域上に設けられたゲート電極層の全領域を露出させる(以下を参照)。
注入マスク600の形成後、複数のn型ドーパント650を開口610を通して、ゲート電極層部分620に導入する。n型ドーパント650は、例えばイオン注入によって導入されたAsまたはリン(P)イオンである。n型ドーパントの注入後、注入マスク600を、酸素プラズマ中での乾式剥離のような剥離プロセスによって除去する。ゲート電極層520の部分620を通って垂直方向に均一にn型ドーパントを分散させるために、拡散アニールを行う。この拡散アニールは、比較的高温、例えば1273K(1000℃)、特に1298K(1025℃)より高い温度で、かつ例えば5秒間またはそれ以上の、ドーパント650が均一に分散するのに十分な時間で行う。この分散アニールによって、ゲート電極層520の部分620で、例えば2nm(20Å)より薄い、好ましくは1nm(10Å)より薄い厚みTを有する空乏領域660が形成される。
図6および図7によれば、ゲート電極層520から形成されているゲート700を、以下のように画定する。ゲートフォトレジストマスク(図示せず)に、少なくともゲート電極層520の部分620を保護するように堆積させかつパターン形成する。ゲートフォトレジストマスクが設けられていないために露出しているゲート電極層520の領域ならびに部分620の領域を、反応性イオンエッチング(RIE)のような除去プロセスによって除去する。続いて、ゲート電極層520の部分の、RIEによって露出した誘電体層500の部分も、除去ステップ、例えば、Siのような歪み層102からなる材料に対しては化学的に選択的なエッチングによるRIEによって除去する。誘電体層500の部分を除去することによって、歪み層102の最上面510が露出し、ゲート700の下に設けられたゲート誘電体層710が画定される。ゲートフォトレジストマスクは、例えば酸素プラズマ中の乾式剥離のような剥離プロセスによって除去される。ゲート700ではn型ドーパントが均一に分布しており、このゲート700が、初期チャンネル長さLを画定する。
図8Aを参照すると、Asのようなn型ドーパントの浅い注入を行い、歪み層102中でソース拡張部800およびドレイン拡張部810が画定されている。第1のサイドウォールスペーサ820および第2のサイドウォールスペーサ830が、隣接するゲート700を画定している。第1のサイドウォールスペーサ820および第2のサイドウォールスペーサ830は、二酸化シリコンまたは窒化シリコンのような誘電体から形成されている。ソース840およびドレイン850は、歪み層102、圧縮歪み層208、緩和層104、隣接する第1および第2のサイドウォールスペーサ820、830によって画定されている。別の態様では、ソース840およびドレイン850は、歪み層102内で画定されている。ソース840およびドレイン850は、基板200上に堆積された層202への、例えばAsのようなn型ドーパントのような複数のドーパントの注入といったような複数のドーパントの導入によって画定することができる。サイドウォールスペーサ820、830の存在によって、前記ドーパントがゲート誘電体710の下の圧縮歪み層208および歪み層102の領域に到達することが、実質的に防止される。
ドーパントを導入してソース840、ドレイン850、ソース拡張部800およびドレイン拡張部810を画定した後、これらのドーパントを活性させるために活性化アニールを行う。比較的低い温度、例えば1273K(1000℃)より低い温度で、活性化アニールを行う。例えば、活性化アニールは、1173K(900℃)で30秒間行うことができる。別の態様では、活性化アニールを、ごく短時間で高温で、例えば1373K(1100℃)で1秒間行うこともできる。別の態様では、きわめて短時間(例えば1秒より短い時間)の活性化アニールを、フラッシュランプアニールまたはレーザアニールのような技術によって1173〜1623K(900〜1350℃)の範囲の温度で行うことができる。この温度および時間は、ソース840およびドレイン850内のドーパントを活性化させるのに十分であるが、ゲート700下のチャンネル860内へのn型ドーパントの過剰な拡散が引き起こされることはない。この方法によって、良好なドーパントの活性化が達成され、高温の拡散アニールによるポリシリコンの空乏化が回避される。同時に、歪み層102および/または圧縮歪み層208付近のドーパントは、長時間高温に晒される(サーマルバジェットが高い)ことはないので、ソース840、ドレイン850、ソース拡張部800およびドレイン拡張部810との境界面を超えて、これらの層内に多量に進入することはない。ドーパントは、高いOFF電流を誘導するほどにはチャンネル860内に拡散することはない。OFF電流は、1マイクロメートルあたり10−6より小さい。別の態様では、OFF電流は、1マイクロメートル当たり10−9アンペアより小さい。
図8Bおよび図8Aに、層202中のドーパントの濃度を、グラフ865で示す。このグラフでは、x軸は歪み層102における位置を、y軸はドーパント濃度の対数を表す。ソース840およびソース拡張部800中のドーパントの濃度[n]870、ならびにドレイン850およびドレイン拡張部810中のドーパントの濃度[n]875は、歪み層102の表面下に位置する高さ880で、約1021原子/立方センチメートルの最大レベルを有している。ソース840の外方領域に存在するドーパントは、ソース限界890を画定しており、ドレイン850の外方領域に存在するドーパントはドレイン限界895を画定している。ソース限界890およびドレイン限界895でのドーパント濃度は、約1018原子/立方センチメートルである。基板200を加熱した後、チャンネル860に隣接するソース限界890およびドレイン限界895の部分は広がるが、その広がる距離はゲート長さLの12.5%より短く、チャンネル長さLは25%以上は短縮されない。ソース領域およびドレイン領域のドーパント濃度の傾斜も、ディケードあたり(つまり濃度の大きさの単位あたり)2nmより大きい。別の態様では、この傾斜は4nm/ディケードより良好である。
別の態様では、PMOSデバイスが、予めドープされたゲートから形成されている。この場合、PMOSゲートを画定する半導体材料を、PMOSゲートが画定される前に、p型ドーパント(ホウ素またはインジウム)でドープする。
別の態様では、ソース拡張部およびドレイン拡張部は、その下に設けられた、Si以外の元素、例えばGeからなる層内に拡張している。
別の態様では、ゲート電極層520にn型ドーパントを注入する前に、マスク、例えば注入マスク600を形成しない。しかし、さらに別の態様では、PMOSゲートのために(またはその反対に)使用されるゲート電極層520材料内へのn型ドーパントの注入は、しきい値電圧に不都合な影響を与えうる。
別の態様では、ゲート700は、金属のようなドーピングを行う必要のない導電性材料から形成されている。ゲート700は、チタン(Ti)、タングステン(W)、モリブデン(Mo)またはタンタル(Ta)のような金属、ならびにドーピングなしで適切な仕事関数、つまり、約4〜5.5電子ボルト(eV)の仕事関数を提供する窒化チタン(TiN)、窒化チタンシリコン(TiSiN)、窒化タングステン(WN)、窒化タンタル(TaN)、タンタルシリサイド(TaSi)、イリジウム(Ir)、酸化イリジウム(IrO)等の別の材料から形成することも可能である。金属は、2nm(20Å)またはそれより薄い、好ましくは1nm(10Å)より小さい空乏領域を有している。
図9を参照すると、第1のトランジスタ910および第2のトランジスタ920が歪み層102上に形成されている。第1のソース840の少なくとも一部922および第1のドレイン850の少なくとも一部924は、歪み層102の第1の部分930に設けられている。第1のソース840および第1のドレイン924は、圧縮歪み層208および緩和層104内に延びている。第1のゲート700は、第1のソース840と第1のドレイン850との間の歪み層102上に設けられている。第1のゲート700は、金属、例えばチタン、タングステン、モリブデン、タンタル、ニッケル、コバルトまたは白金からなっている。別の態様では、ゲート700は、金属-半導体合金、例えば金属シリサイド、金属ゲルマノサイドまたは金属ゲルマノシリサイドからなっている。さらに別の態様では、ゲート700は、金属-半導体合金のみからなっている。チャンネル860は、ゲート700の下に形成されている。ソース840はソース限界890を有しており、ドレイン850はドレイン限界895を有している。ソース限界890およびドレイン限界895のいずれかまたは両方は、ゲート700の下で延びており、その延びの長さはチャンネル長さLiの12.5%より短い(図8A参照)。ソース限界890および/またはドレイン限界895でのドーパントの濃度は、少なくとも1018原子/立方センチメートルである。
第2のトランジスタ920は、歪み層102の第2の部分960に設けられた第2のソース940および第2のドレイン950を有している。第2のゲート965は、第2のソース940と第2のドレイン950との間の歪み層102上に設けられている。第2のゲート965は、第2の金属、例えばチタン、タングステン、モリブデン、タンタル、ニッケル、コバルトまたは白金からなっている。別の態様では、ゲート965は金属-半導体合金からなっている。さらに別の態様では、ゲート965は、金属-半導体合金のみからなっている。第2のゲート誘電体層970は、第2のゲート965と歪み層102との間に設けられている。第1のトランジスタ910は、n型金属-酸化物-半導体電界効果トランジスタ(n−MOSFET)であり、第1のソース840および第1のドレイン850は、n型ドーパントを含んでいる。第2のトランジスタ920は、p型金属-酸化物-半導体電界効果トランジスタ(p−MOSFET)であり、第2のソース940および第2のドレイン950は、p型ドーパントを含んでいる。よって、CMOSデバイス900は、n−MOSFET910およびp−MOSFET920からなっている。
別の態様では、ゲート700および965は、半導体層または金属-半導体合金、例えばシリサイドから形成することができる。
CMOSデバイスでは、中間バンドギャップ仕事関数(約4.4〜4.6eV)を有する単一のゲートを、NMOSおよびPMOSの両デバイス、例えば、SSOI基板に形成された完全空乏型セミコンダクタ・オン・インシュレータデバイスのために使用することができる。別の態様では、それぞれのバンド末端により近い仕事関数、例えば伝導帯末端(〜4eV)より約0.2〜0.4eV小さい、または価電子帯末端(〜5eV)より約0〜0.2eV大きい仕事関数を有している2つの異なる材料を、歪みシリコンのような歪み半導体でそれぞれ形成されたNMOSおよびPMOSデバイスに対して使用することができる。ドープなしで適切な仕事関数が得られるゲート材料を使用することによって、ドーパントが不要となるので、ゲート空乏効果が回避される。さらに、ソース拡張部800およびドレイン拡張部810からのドーパントの分散によって起こる逆短チャンネル効果も、サーマルジェットの高い活性化ステップをなくすことによって回避される。
別の態様では、ゲート電極層520は、多結晶Si、GeまたはSiGeのようなゲート半導体材料から形成することができ、このゲート半導体材料は、続いて堆積させる金属、例えばニッケル、コバルト、チタンまたは白金と、ゲート700の画定前または後で反応させる。このゲート半導体材料は、単一の層としてCVDによって堆積させることができ、約50〜200nm(約500〜2000Å)、例えば100nm(1000Å)の厚みを有している。続いて堆積させる金属は、例えばスパッタリングによって堆積させることができ、例えば2〜15nmの厚みを有している。ゲート半導体材料および金属は、例えば、673〜1123K(400〜850℃)での10〜120秒間の急速熱プロセスを含むシリサイド化プロセスのような反応プロセスで反応させることができる。この反応プロセスも、未反応の金属を構造から除去する湿式化学剥離後に行う第2の急速熱プロセスステップを含んでいる。この態様では、反応条件ならびにゲート半導体材料および金属の厚みを、ゲート半導体材料および金属が実質的に完全に互いに反応して金属シリサイドのような金属-半導体合金を形成するように選択する。このようにして、ゲート700は、実質的にシリサイド材料、例えばニッケルシリサイド、コバルトシリサイド、チタンシリサイドまたは白金シリサイド、もしくはゲルマノサイド材料、例えばニッケルゲルマノサイド、コバルトゲルマノサイド、チタンゲルマノサイドまたは白金ゲルマノサイドからなっている。ゲート電極層520は、反応プロセス、例えばシリサイド化反応の前に、複数のn型またはp型ドーパントの導入によってドープすることができる。このようなドーピングにより、後反応プロセスのゲート仕事関数を変化させることが可能となり、所望のスレッショールド電圧を有するデバイスの製造を簡単にする。この反応プロセスは、ゲート700の画定前または後に行うことができる。半導体ゲート電極層520(つまりゲート700)が完全に反応することによって、ゲート700が金属ゲートとなり、ポリシリコンの空乏効果が回避される。
図10を図4〜9と共に参照すると、トランジスタ910は、SSOI基板410上に形成されており、この場合、歪み層102が、誘電体層410と接触して設けられている。この態様では、ソース840およびドレイン850全体は、歪み層102内に配置されている。
図5〜10を参照して説明した方法および構造は、別のエピタキシャルウェハ、例えば図1および図3に示したウェハ上で形成することもできる。
本発明は、その思想および本質的な特徴から逸脱することのない別の特定の形態で説明することができる。したがって、これらの実施例は、全て例示的なものと考えられるべきであり、本発明を限定するものではない。したがって、本発明の範囲とは、前述の説明よりはむしろ特許請求の範囲に記載の内容を指し、この特許請求の範囲の意味するものおよびこの特許請求と同等のものの枠内にある全ての変更が含まれている。
半導体構造の製造のために適用可能な基板を示す図である。 半導体構造の製造のために適用可能な別の基板を示す図である。 半導体構造の製造のために適用可能なさらに別の基板を示す図である。 半導体構造の製造のために適用可能なさらに別の基板を示す図である。 基板上に半導体構造を製造するための経過の1つを図示した、半導体基板の概略的な横断面図である。 基板上に半導体構造を製造するための経過の1つを図示した、半導体基板の概略的な横断面図である。 基板上に半導体構造を製造するための経過の1つを図示した、半導体基板の概略的な横断面図である。 基板上に半導体構造を製造するための経過の1つを図示した、半導体基板の概略的な横断面図である。 図8Aに示した半導体構造におけるドーパントの分布を表したグラフである。 基板上に製造された半導体構造の概略的な横断面図である。 別の基板上に製造された半導体構造の概略的な横断面図である。

Claims (63)

  1. 構造を形成する方法であって、
    基板上に、厚みが約2nm(約20オングストローム)より小さな空乏領域を備えている層を形成すること、
    前記層の一部を除去してトランジスタのゲートを画定して、該ゲートがチャンネル長さを画定すること、
    前記ゲートに隣接している前記基板に複数のドーパントを導入してソースおよびドレインを画定すること、および
    前記基板を、複数のドーパントが活性化する温度に加熱することを含み、
    前記温度が十分に低く、これにより、前記複数のドーパントの少なくとも一部が拡散して高いOFF電流が誘導されることが防止される、方法。
  2. 前記基板が絶縁体層を含む、請求項1に記載の方法。
  3. 前記基板が、前記絶縁体層上に設けられた歪み層を含む、請求項2に記載の方法。
  4. 前記基板が歪み層を含む、請求項1に記載の方法。
  5. 前記歪み層が引張り歪みを有している、請求項4に記載の方法。
  6. 前記歪み層が圧縮歪みを有している、請求項4に記載の方法。
  7. 前記基板が緩和層を含む、請求項1に記載の方法。
  8. 前記基板がゲルマニウムからなっている、請求項1に記載の方法。
  9. 誘導されたOFF電流が、1マイクロメートルあたり10−6アンペアより小さい、請求項1に記載の方法。
  10. 前記誘導されたOFF電流が、1マイクロメートルあたり10−9アンペアより小さい、請求項9に記載の方法。
  11. 複数のドーパントを導入した後、前記ソースの領域に導入された複数のドーパントの一部が、チャンネル付近でソース限界を画定し、基板の加熱後、該ソース限界がゲート下で広がり、その広がる距離はチャンネル長さの12.5%よりも短い、請求項1に記載の方法。
  12. 前記ソース限界におけるドーパント成分の濃度が、少なくとも約1018原子/立方センチメートルである、請求項11に記載の方法。
  13. 複数のドーパントが導入された後、前記ドレインの領域に導入された複数のドーパントの一部が、チャンネル付近でドレイン限界を画定し、基板の加熱後、該ドレイン限界がゲート下で広がり、その広がる距離はチャンネル長さの12.5%よりも小さい、請求項1に記載の方法。
  14. 前記ドレイン限界におけるドーパント成分の濃度が、少なくとも約1018原子/立方センチメートルである、請求項13に記載の方法。
  15. 前記層が半導体からなっており、該層を形成するステップが、当該層内に複数のゲートドーパントを導入することと、当該層内のゲートドーパントの分布を変化させるために該層を第1の温度に加熱することとを含む、請求項1に記載の方法。
  16. 前記半導体がシリコンからなっている、請求項15に記載の方法。
  17. 前記半導体がゲルマニウムからなっている、請求項1に記載の方法。
  18. 前記層が金属元素からなっている、請求項1に記載の方法。
  19. 前記金属元素が、モリブデン、チタン、タンタル、タングステン、イリジウム、ニッケル、コバルトおよび白金のうちの少なくとも1つを含む、請求項18に記載の方法。
  20. 構造を形成する方法であって、
    基板上に設けられたゲート電極層に、第1の複数のドーパントを導入すること、
    前記ゲート電極層内の第1の複数のドーパントの分布を変化させるために、当該ゲート電極層を第1の温度に加熱すること、
    前記ゲート電極層の一部を除去して、トランジスタのゲートを画定すること、
    第2の複数のドーパントを前記ゲートに隣接する基板に導入して、ソースおよびドレインを画定すること、および
    前記第2の複数のドーパントを活性化させるために、基板を第2の温度に加熱することを含み、
    前記第2の温度が前記第1の温度よりも低い方法。
  21. 前記基板が絶縁体層を含む、請求項20に記載の方法。
  22. 前記基板が、前記絶縁体層上に設けられた歪み層を含む、請求項21に記載の方法。
  23. 前記基板が歪み層を含む、請求項20に記載の方法。
  24. 前記歪み層が引張り歪みを有している、請求項23に記載の方法。
  25. 前記歪み層が圧縮歪みを有している、請求項23に記載の方法。
  26. 前記基板が緩和層を含む、請求項20に記載の方法。
  27. 前記基板がゲルマニウムからなっている、請求項20に記載の方法。
  28. 前記第1の温度が1273K(1000℃)よりも高い、請求項20に記載の方法。
  29. 前記第2の温度が1273K(1000℃)よりも低い、請求項20に記載の方法。
  30. 前記ゲート電極層が半導体層を含む、請求項20に記載の方法。
  31. 前記半導体層がシリコンからなっている、請求項30に記載の方法。
  32. 前記半導体層がゲルマニウムからなっている、請求項30に記載の方法。
  33. 前記第1の複数のドーパントおよび第2の複数のドーパントが、n型ドーパントからなっている、請求項20に記載の方法。
  34. 前記第1の複数のドーパントおよび第2の複数のドーパントが、p型ドーパントからなっている、請求項20に記載の方法。
  35. 構造を形成する方法であって、
    基板上に設けられたゲート電極層に、第1の複数のドーパントを導入すること、
    前記ゲート電極層内の前記第1の複数のドーパントの分布を変化させるために、半導体層を第1の時間加熱すること、
    前記ゲート電極層の一部を除去して、トランジスタのゲートを画定すること、
    第2の複数のドーパントを前記ゲートに隣接する基板に導入して、ソースおよびドレインを画定すること、
    前記第2の複数のドーパントを活性化させるために、前記基板を第2の時間加熱することを含み、
    前記第2の時間が前記第1の時間よりも短い方法。
  36. 前記基板が絶縁体層を含む、請求項35に記載の方法。
  37. 前記基板が、前記絶縁体層上に設けられた歪み層を含む、請求項36に記載の方法。
  38. 前記基板が歪み層を含む、請求項35に記載の方法。
  39. 前記歪み層が引張り歪みを有している、請求項38に記載の方法。
  40. 前記歪み層が圧縮歪みを有している、請求項38に記載の方法。
  41. 前記基板が緩和層を含む、請求項35に記載の方法。
  42. 前記基板が、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項35に記載の方法。
  43. 前記第1の時間が5秒よりも長い、請求項35に記載の方法。
  44. 前記第1の時間が30秒より長い、請求項35に記載の方法。
  45. 前記ゲート電極層が半導体層を含む、請求項35に記載の方法。
  46. 前記半導体層がシリコンからなっている、請求項45に記載の方法。
  47. 前記半導体層がゲルマニウムからなっている、請求項45に記載の方法。
  48. 前記第1の複数のドーパントがn型ドーパントからなっている、請求項35に記載の方法。
  49. 前記第2の複数のドーパントがp型ドーパントからなっている、請求項35に記載の方法。
  50. 基板上に設けられた歪み層と、第1のトランジスタとを有する構造であって、
    該第1のトランジスタが、
    第1のソースおよび第1のドレインであって、該第1のソースの少なくとも一部および該第1のドレインの少なくとも一部が、前記歪み層の第1の部分に設けられている、第1のソースおよび第1のドレインと、
    前記歪み層上にかつ前記ソースと前記ドレインとの間に設けられていて、第1の金属を含んでいる第1のゲートと、
    前記第1のゲートと前記歪み層との間に設けられている第1のゲート誘電体層とを有している、構造。
  51. 前記基板が誘電体材料を含み、前記歪み層が、該誘電体材料と接触して設けられている、請求項50に記載の構造。
  52. 前記第1の金属が、チタン、タングステン、モリブデン、タンタル、ニッケル、コバルトおよび白金からなる群から選択される、請求項50に記載の構造。
  53. 前記歪み層がシリコンからなっている、請求項50に記載の構造。
  54. 前記ゲートが金属-半導体合金からなっている、請求項50に記載の構造。
  55. 前記ゲートが本質的に金属-半導体合金からなっている、請求項54に記載の構造。
  56. 前記ゲート下に形成されたチャンネルをさらに有している、請求項50に記載の構造。
  57. 前記ソースが、チャンネル付近にソース限界を有しており、該ソース限界が、ゲート下で広がり、その広がる距離がチャンネル長さの12.5%より短い、請求項56に記載の構造。
  58. 前記ソース限界のドーパントの濃度が、少なくとも約1018原子/立方センチメートルである、請求項57に記載の構造。
  59. 前記ドレインが、チャンネル付近でドレイン限界を有しており、該ドレイン限界が、ゲート下でチャンネル長さの12.5%よりも短い距離を延びている、請求項56に記載の構造。
  60. 前記ドレイン限界のドーパントの濃度が、少なくとも約約1018原子/立方センチメートルである、請求項59に記載の構造。
  61. 第2のトランジスタをさらに含む構造であって、
    該第2のトランジスタが、
    第2のソースおよび第2のドレインであって、該第2のソースの少なくとも一部および該第2のドレインの少なくとも一部が、歪み層の第2の部分に設けられている、第2のソースおよび第2のドレインと、
    前記歪み層上にかつ前記第2のソースと前記第2のドレインとの間に設けられていて、第2の金属を含んでいる第2のゲートと、
    前記第2のゲートと前記歪み層との間に設けられている前記第2のゲート誘電体層とを有しており、
    前記第1のトランジスタが、n型金属-酸化物-半導体電界効果トランジスタであり、前記第1のソースおよび前記第1のドレインがn型ドーパントを有しており、前記第2のトランジスタが、p型金属-酸化物-半導体電界効果トランジスタであり、前記第2のソースおよび前記第2のドレインがp型ドーパントを有している、請求項50に記載の構造。
  62. 前記第1のゲートが第1の仕事関数を有しており、前記第2のゲートが第2の仕事関数を有しており、当該第1の仕事関数が、実質的に該第2の仕事関数に等しい、請求項61に記載の構造。
  63. 前記第1のゲートが第1の仕事関数を有しており、前記第2のゲートが第2の仕事関数を有しており、当該第1の仕事関数が、実質的に該第2の仕事関数と異なる、請求項61に記載の構造。
JP2004547063A 2002-10-22 2003-10-22 半導体デバイス Expired - Lifetime JP4796771B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US42022702P 2002-10-22 2002-10-22
US60/420,227 2002-10-22
PCT/US2003/033561 WO2004038778A1 (en) 2002-10-22 2003-10-22 Gate material for semiconductor device fabrication

Publications (3)

Publication Number Publication Date
JP2006505116A true JP2006505116A (ja) 2006-02-09
JP2006505116A5 JP2006505116A5 (ja) 2006-12-07
JP4796771B2 JP4796771B2 (ja) 2011-10-19

Family

ID=32176537

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004547063A Expired - Lifetime JP4796771B2 (ja) 2002-10-22 2003-10-22 半導体デバイス

Country Status (5)

Country Link
US (3) US6991972B2 (ja)
EP (1) EP1561238A1 (ja)
JP (1) JP4796771B2 (ja)
AU (1) AU2003301603A1 (ja)
WO (1) WO2004038778A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005019463A (ja) * 2003-06-23 2005-01-20 Toshiba Corp 半導体装置
JP2008021674A (ja) * 2006-07-10 2008-01-31 Tokyo Univ Of Agriculture & Technology 半導体積層膜、及び半導体積層膜作製用装置
US8878302B2 (en) 2012-12-05 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having SiGe substrate, interfacial layer and high K dielectric layer
JP2018041979A (ja) * 2009-12-23 2018-03-15 インテル コーポレイション 非平面ゲルマニウム量子井戸デバイス

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6991972B2 (en) 2002-10-22 2006-01-31 Amberwave Systems Corporation Gate material for semiconductor device fabrication
US20040121524A1 (en) * 2002-12-20 2004-06-24 Micron Technology, Inc. Apparatus and method for controlling diffusion
US7297617B2 (en) * 2003-04-22 2007-11-20 Micron Technology, Inc. Method for controlling diffusion in semiconductor regions
US7612366B2 (en) 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
US7319258B2 (en) * 2003-10-31 2008-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip with<100>-oriented transistors
US7348265B2 (en) * 2004-03-01 2008-03-25 Texas Instruments Incorporated Semiconductor device having a silicided gate electrode and method of manufacture therefor
WO2005119762A1 (en) * 2004-05-27 2005-12-15 Massachusetts Institute Of Technology Single metal gate material cmos using strained si-silicon germanium heterojunction layered substrate
US7339205B2 (en) * 2004-06-28 2008-03-04 Nitronex Corporation Gallium nitride materials and methods associated with the same
US7687827B2 (en) * 2004-07-07 2010-03-30 Nitronex Corporation III-nitride materials including low dislocation densities and methods associated with the same
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
JP2006278873A (ja) * 2005-03-30 2006-10-12 Seiko Epson Corp 半導体装置およびその製造方法
US7696537B2 (en) * 2005-04-18 2010-04-13 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
CA2612118A1 (en) * 2005-07-15 2007-01-25 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer and associated methods
KR100724560B1 (ko) * 2005-11-18 2007-06-04 삼성전자주식회사 결정질 반도체층을 갖는 반도체소자, 그의 제조방법 및그의 구동방법
US8101485B2 (en) * 2005-12-16 2012-01-24 Intel Corporation Replacement gates to enhance transistor strain
US7833849B2 (en) * 2005-12-30 2010-11-16 International Business Machines Corporation Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
US7410852B2 (en) * 2006-04-21 2008-08-12 International Business Machines Corporation Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
US7718707B2 (en) * 2006-12-21 2010-05-18 Innovalight, Inc. Method for preparing nanoparticle thin films
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
US8968438B2 (en) * 2007-07-10 2015-03-03 Innovalight, Inc. Methods and apparatus for the in situ collection of nucleated particles
US8471170B2 (en) * 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US20090053878A1 (en) * 2007-08-21 2009-02-26 Maxim Kelman Method for fabrication of semiconductor thin films using flash lamp processing
US7732872B2 (en) * 2007-10-25 2010-06-08 International Business Machines Corporation Integration scheme for multiple metal gate work function structures
JP2010021235A (ja) * 2008-07-09 2010-01-28 Toshiba Corp 半導体装置及びその製造方法
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US8598027B2 (en) * 2010-01-20 2013-12-03 International Business Machines Corporation High-K transistors with low threshold voltage
US9209301B1 (en) 2014-09-18 2015-12-08 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
US9219150B1 (en) 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
KR20170036966A (ko) 2015-09-24 2017-04-04 삼성전자주식회사 반도체 소자의 제조하는 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5227644A (en) * 1989-07-06 1993-07-13 Nec Corporation Heterojunction field effect transistor with improve carrier density and mobility
EP0784339A2 (en) * 1996-01-12 1997-07-16 Sony Corporation Method of fabricating a semiconductor device
JP2002094060A (ja) * 2000-07-26 2002-03-29 Internatl Business Mach Corp <Ibm> デバイス分離形成後にSi選択エピタキシャル堆積を使用する歪みSiCMOS構造の製造方法
US6383879B1 (en) * 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US20020076886A1 (en) * 2000-11-30 2002-06-20 Rotondaro Antonio L.P. Complementary transistors having respective gates formed from a metal and a corresponding metal-silicide
JP2002270849A (ja) * 2001-03-13 2002-09-20 Hitachi Ltd 半導体装置の製造方法および半導体装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US76886A (en) * 1868-04-21 Obadiak b
US5227664A (en) * 1988-02-26 1993-07-13 Hitachi, Ltd. Semiconductor device having particular mounting arrangement
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6268253B1 (en) * 1999-10-14 2001-07-31 Advanced Micro Devices, Inc. Forming a removable spacer of uniform width on sidewalls of a gate of a field effect transistor during a differential rapid thermal anneal process
WO2001054202A1 (en) 2000-01-20 2001-07-26 Amberwave Systems Corporation Strained-silicon metal oxide semiconductor field effect transistors
WO2001093338A1 (en) 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US6399452B1 (en) * 2000-07-08 2002-06-04 Advanced Micro Devices, Inc. Method of fabricating transistors with low thermal budget
JP2002176172A (ja) * 2000-12-06 2002-06-21 Nec Corp Mosトランジスタの製造方法
US6605396B2 (en) * 2001-08-06 2003-08-12 Infineon Technologies, Ag Resolution enhancement for alternating phase shift masks
US6475908B1 (en) 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
AU2003261300A1 (en) * 2002-07-29 2004-02-16 Amberwave Systems Selective placement of dislocation arrays
WO2004019391A2 (en) * 2002-08-23 2004-03-04 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US6991972B2 (en) * 2002-10-22 2006-01-31 Amberwave Systems Corporation Gate material for semiconductor device fabrication

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5227644A (en) * 1989-07-06 1993-07-13 Nec Corporation Heterojunction field effect transistor with improve carrier density and mobility
EP0784339A2 (en) * 1996-01-12 1997-07-16 Sony Corporation Method of fabricating a semiconductor device
US6383879B1 (en) * 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
JP2002094060A (ja) * 2000-07-26 2002-03-29 Internatl Business Mach Corp <Ibm> デバイス分離形成後にSi選択エピタキシャル堆積を使用する歪みSiCMOS構造の製造方法
US20020076886A1 (en) * 2000-11-30 2002-06-20 Rotondaro Antonio L.P. Complementary transistors having respective gates formed from a metal and a corresponding metal-silicide
JP2002270849A (ja) * 2001-03-13 2002-09-20 Hitachi Ltd 半導体装置の製造方法および半導体装置

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JPN5005009852, PARK H, 2002 SYMPOSIUM ON VISI TECHNOLOGY, 20020611, P134−135, US, IEEE *
JPN5005009853, TAKAGI S−C, IEICE TRANSACTTONS ON ELECTRONICS, 200108, V.E84−C N8, P1043−1050, JP, INSTITUTE OF ELECTRONICS以下予備 *
JPN5005009854, REINKING D, ELECTRONICS LETTERS, 19990318, V35 N6, P503−504, GB, IEE STEVENAGE *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005019463A (ja) * 2003-06-23 2005-01-20 Toshiba Corp 半導体装置
JP2008021674A (ja) * 2006-07-10 2008-01-31 Tokyo Univ Of Agriculture & Technology 半導体積層膜、及び半導体積層膜作製用装置
JP2018041979A (ja) * 2009-12-23 2018-03-15 インテル コーポレイション 非平面ゲルマニウム量子井戸デバイス
US10236369B2 (en) 2009-12-23 2019-03-19 Intel Corporation Techniques for forming non-planar germanium quantum well devices
US8878302B2 (en) 2012-12-05 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having SiGe substrate, interfacial layer and high K dielectric layer
KR101464072B1 (ko) 2012-12-05 2014-11-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 계면층을 갖는 반도체 디바이스 및 그 제조 방법

Also Published As

Publication number Publication date
AU2003301603A1 (en) 2004-05-13
EP1561238A1 (en) 2005-08-10
US7074655B2 (en) 2006-07-11
US6991972B2 (en) 2006-01-31
US20040137685A1 (en) 2004-07-15
JP4796771B2 (ja) 2011-10-19
WO2004038778A1 (en) 2004-05-06
US20060258075A1 (en) 2006-11-16
US20060024869A1 (en) 2006-02-02
US7326599B2 (en) 2008-02-05

Similar Documents

Publication Publication Date Title
JP4796771B2 (ja) 半導体デバイス
US10050145B2 (en) Methods for forming semiconductor device structures
US6952040B2 (en) Transistor structure and method of fabrication
US7495313B2 (en) Germanium substrate-type materials and approach therefor
KR101118330B1 (ko) 반도체 제조를 위한 게이트 전극 도펀트 활성화 방법
KR100822918B1 (ko) 회로 장치와 회로 장치 제조 방법
JP4949628B2 (ja) Cmosプロセス中に歪み半導基板層を保護する方法
US7880228B2 (en) Semiconductor device including MISFET
US7494881B2 (en) Methods for selective placement of dislocation arrays
US20090283842A1 (en) Semiconductor device and method of fabricating the same
US6812105B1 (en) Ultra-thin channel device with raised source and drain and solid source extension doping
JP2006351581A (ja) 半導体装置の製造方法
JP2011171706A (ja) トランジスタ及びその製造方法
KR20050091051A (ko) 두꺼운 스트레인드 실리콘 층 형성 방법 및 두꺼운스트레인드 실리콘 층이 합체된 반도체 구조들
US6867428B1 (en) Strained silicon NMOS having silicon source/drain extensions and method for its fabrication
US8361889B2 (en) Strained semiconductor-on-insulator by addition and removal of atoms in a semiconductor-on-insulator

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061023

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061023

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110726

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110801

R150 Certificate of patent or registration of utility model

Ref document number: 4796771

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140805

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term