JP2006107517A - クロストークを考慮したタイミング分析のためのシステム、方法及び論理装置 - Google Patents

クロストークを考慮したタイミング分析のためのシステム、方法及び論理装置 Download PDF

Info

Publication number
JP2006107517A
JP2006107517A JP2005294029A JP2005294029A JP2006107517A JP 2006107517 A JP2006107517 A JP 2006107517A JP 2005294029 A JP2005294029 A JP 2005294029A JP 2005294029 A JP2005294029 A JP 2005294029A JP 2006107517 A JP2006107517 A JP 2006107517A
Authority
JP
Japan
Prior art keywords
timing
interconnect
critical path
victim
critical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005294029A
Other languages
English (en)
Other versions
JP4634269B2 (ja
Inventor
Rajeev Murgai
ムルガイ ラジーヴ
Yinghua Li
リィ インホア
Takashi Miyoshi
貴史 三吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of JP2006107517A publication Critical patent/JP2006107517A/ja
Application granted granted Critical
Publication of JP4634269B2 publication Critical patent/JP4634269B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】 従来の回路設計に伴う欠点を除去するクロストークを考慮したタイミング分析方法を提供すること。
【解決手段】 本方法は回路の設計内容にアクセスし、設計におけるクリティカルパスを確認する。各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成される。本方法は各ビクティム相互接続に関連する潜在的なアグレッサ相互接続を確認し、その各々についてビクティム相互接続の寄生物と潜在的なアグレッサ相互接続とを抽出する。本方法は潜在的なアグレッサ相互接続のタイミングウインドウを計算し、各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算する。本方法は各クリティカルパスについて潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し、クリティカルパスの開始点から終点までをたどり及び各セル及び各ビクティム相互接続の第2タイミングを計算する。
【選択図】 図2

Description

本発明は一般に回路設計の技術分野に関連し、特にクロストークを考慮したタイミング分析に関連する。
本願は35U.S.C.§119(e)の下での2004年10月8日付け米国仮出願番号第60/617,283号の利益を享受する。
ディープサブミクロン回路設計では、配線の背がより高くなり幅がより狭くなる一方、互いを隔てる距離は減少するので、隣接する相互配線間のカップリング容量が深刻な問題になってきている。
本発明の課題は従来の回路設計に伴う欠点及び問題点を除去又は軽減するクロストークを考慮したタイミング分析のためのシステム、方法及び論理装置を提供することである。
一実施例におけるクロストークを考慮したタイミング分析のための方法は、回路の設計内容にアクセスし、前記設計内容の中で1以上のクリティカルパスを確認する。各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成される。本方法は各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認し、各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物(parasitic)と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出する。本方法は潜在的なアグレッサ相互接続のタイミングウインドウを計算し、及び各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算する。各クリティカルパスについて、クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する。
本発明の特定の実施例は1以上の技術的利益を与える。例えば特定の実施例は設計においてクリティカルパスの遅延に対するクロストークの影響を測定する分析ツールを含む。特定の実施例では、クロストークを考慮した遅延情報を設計者が利用でき、クロストークを減らすため或いは防止さえもするために設計を修正する。他の手法と比較して、本発明による特定の実施例は高度な遅延計算精度を与える。特定の実施例はセル、ネット及び相互接続削減のための近似的なモデルの利用を回避する。特定の実施例はパスベースアプローチを使用し、クリティカルネット及びそれらの侵略者又はアグレッサ(aggressor)の詳細且つ正確な分散RC寄生物を使用し、BSIM−3高精度ゲートモデルを使用し、入力パラメータの完全最小セットだけを使用して例えばHSPICEのような遅延計算用の正確な分析ツールを起動する。
特定の実施例は上述の技術的利益の全部又は一部をもたらしてもよいし、全くもたらさなくてもよい。特定の実施例は1以上の他の技術的利益を与えるかもしれない。1以上の技術的利益は詳細な説明、図面及び特許請求の範囲から当業者に更に明白になるであろう。
以下、本発明によるシステム、方法及び論理装置が説明される。この場合において、論理装置(logic)なる語は、ソフトウエアで構成される論理プログラムや、ハードウエアで構成される論理回路等を含む概念である。
本発明及びその特徴や利点のより完全な理解をもたらすため、添付図面に関連する以下の説明が参照される。
図1はクロストークの例を示す。ディープサブミクロン回路設計では、配線の背がより高くなり幅がより狭くなる一方、互いを隔てる距離は減少するので、隣接する相互配線間のカップリング容量が深刻な問題になってきている。その結果、物理的に隣接するネット(又は相互接続)間のクロストークノイズは重要な問題になる。影響を受けるネットは被害者又はビクティム(victim)νである。隣接するスイッチングするネットはビクティムνに影響を及ぼすノイズを引き起こし、アグレッサaである。アグレッサaに関連するものは適切ならば1以上のそのようなネットを包含する。図1に示されるように、アグレッサaがビクティムνと反対向きに切り替わる場合には、クロストークはビクティムνでの到達時間を増やすかもしれない。アグレッサaがビクティムνと同じ方向に切り替わる場合には、クロストークはビクティムνでの到達時間を減らすかもしれない。ν及びa間の分散したカップリング容量はCiで示される。クロストークは論理ハザード及び回路不具合も招くかもしれない。例えばその遅延がクリティカルパスを変えるほど充分に大きくクロックサイクルより遅かった場合(及びタイミング違反を引き起こす場合)又はアグレッサaとの容量結合に起因してビクティムνで生じる大きなスパイクがターゲットフリップフロップで間違った値をラッチする程度に大きくクロックエッジに近接する場合に、その回路は不具合を起こすかもしれない。クロストークに起因する遅延変化の正確な計算は回路設計プロセスで重要になる。本発明の特定の実施例はチップレベルの設計の観点でこの問題に対処し、クロストークカップリングがある場合に正確なパス遅延を計算する。
本発明の特定の実施例はパス及び回路遅延へのクロストークの影響を測定する正確な分析手法及びツールを与える。本発明の特定の実施例ではそのツールはハードウエア、ソフトウエア若しくは組み込まれた論理素子又はそのような素子の2以上の組み合わせである。そのような実施例では1以上のコンピュータシステムはツールへのアクセスをユーザに与える。本発明の特定の実施例は経路(パス)ベースであり、以前の手法におけるネットベースの手法に特有の悲観的な影響を受けない。分析中の各パスpについて、所与のビクティムネットに関し、真のアグレッサ及びそのスイッチング回数が、pに対するビクティムネットのスイッチング時間に基づいて算出される。所与のパスpについて、クロストークがあるパスにおけるゲート及びネットを介する遅延はHSPICEを用いて非常に高精度に計算される。本発明の特定の実施例はネットを分散RCネットワークとしてモデル化する。マクロモデル削減技法は適用されることを要しない。クロストークはネット遅延だけでなくゲート遅延にかなりの影響を与えるので、正確なゲート−遅延モデルであることが重要である。従来の手法で使用された単純な抵抗モデルとは異なり、本発明の特定の実施例はBSIM3ゲートモデルを使用する。ゲート遅延を計算するために、一般にスタティックタイミング分析(STA)ツールは出力ネットでの相互接続寄生物を1つの実効容量Ceffで置換する。Ceffの計算は、STAツールで使用される出力負荷ベースのセル遅延モデルに適合させるための近似的な試みである。これに対して、本発明の特定の実施例は出力ネットでHSPICE及び完全なRC網を使用し、ゲートを介するピンごとの(ピン間の)遅延を計算し、かなりの正確さをもたらす。セル遅延の再計算のために、本発明の特定の実施例が提供する方法は最小数のパターンを生成し、そのパターンは所与の入出力ピンのペア及び遷移方向についてクリティカルパス上のセルを介する最悪のピン間遅延を導出するためにシミュレートされるべきものである。本発明の特定の実施例はクリティカルパスについて2つの筋書きでスパイス(SPICE)高精度遅延レポートを生成し:筋書きの一方ではスイッチングするアグレッサ及びカップリング容量があり、他方ではそれらがない。これは設計者にクロストークの影響を一層理解しやすくする。
概して本発明の特定の実施例はマッピングされ、配置され及びルーティングされるデザインが利用可能であることを仮定し、そして近接するアグレッサネット(クロストークノイズの影響を与えるネット)がある場合に一群のクリティカルパスの遅延を再計算する。そのような実施例は先ずクリティカルパスpの各ネットνについて潜在的なアグレッサネットを見分け、そしてアグレッサのある場合におけるνについての寄生物を抽出する。その寄生物は分散されたカップリングキャパシタンス、セルフキャパシタンス及び抵抗を含む。そしてそのような実施例は、開始点からpを通過し、カップリング容量及びアグレッサの遷移のある場合におけるp及び関連する出力ネットνでの各セルを介する遅延及びスルー(slew)を再計算することでpの遅延を再計算する。
本発明の特定の実施例は以下の入力及び出力を含む。特定の実施例は、ゲートレベルの階層的なネットリスト、配置及びルーティングのデータを含むマッピングされたルーティング後の設計内容を読み込む。設計者はパスの選択的なリストPも提供してよく、そのパスのリストは設計者が容量結合のある場合に遅延を分析してほしいパスである。設計者がそのようなリストを提供するならば、Pの各経路上の全ての地点(又はパッド又はピン)について実際の到達時間及び遷移時間をそのリストが有するべきである。設計者がパスリストPを提供しないならば(特定の実施例ではデフォルトモードである)、特定の実施例は中間的なタイミングレポートRptを自動的に生成し、そのレポートはその設計におけるクリティカルパス及び準クリティカルパスのリストPを含む。特定の実施例はセルのライブラリ及びSPICEモデルファイルも要求する。
本発明の特定の実施例は以下のようなタスクのためのコンピュータ支援設計(CAD)ベンダツールを起動してもよく、それらは:STA用のプライムタイム(PrimeTime)、寄生物抽出のためのスタークストラクト(StarXtract)並びに回路分析及び遅延計算用のHSPICEである。これらのツールはSYNOPSYSからのものである。特定のツールが特定のタスクのために説明されるが、本発明は適切などのタスクについての適切などのツールでも想定している。本発明の特定の実施例の出力は2つのタイミングレポートRct,Rspである。タイミングレポートRctはクロストークがある場合のP内の各経路pについてのタイミング情報を含む。特定の実施例は全ての地点での到達時間及びスルー並びにp上のセル及びネットを介する遅延を報告する。第2レポートRspは同じくタイミング情報を含むが、スイッチングするアグレッサによるクロストークがない場合のものである。プライムタイムで生成されたRsp及びタイミングレポートRpt間の相違は、RspがHSPICEを用いて生成されることである。プライムタイムはHSPICEと比較して通常的には悲観的であるので、例えばプライムタイムはHSPICEが行うよりも多くの遅延数を報告するので、RctとRspを比較することは好ましい:双方はHSPICEを用いて生成され、プライムタイムよりも正確になる。
図2はクロストークを考慮したタイミング分析例を示す。本発明の特定の実施例では、クリティカルパス生成器10は一群のクリティカルパスPを(既に用意されていなければ)生成する。上下各自の方向と共にP上の全てのネット及び到達時間がビクティムネット(クロストークノイズの影響を受けるネット)の集合Vを構成する。少なくともいくつかの設計内容について、クリティカルパス生成器10はその集合を生成するためにプライムタイムスクリプトを利用する。このスクリプトは相互接続をモデル化するためにネット寄生物も読み込む。tPTをプライムタイムで計算されるパス遅延であるとする。適切な静的な時間分析法がプライムタイムの代わりに使用されてもよい。本発明の特定の実施例では、アグレッサネット生成器12はV内の各ネットについて潜在的なアグレッサネットの集合A(n)を算出する。これらはnに物理的に近いネットである。所与のnの下でアグレッサネット生成器12は次のようにしてA(n)を算出する。先ずレイアウトからn及びそれらの終点の全てのネットセグメントNS(n)が判定される。次に設計全体の中で何らかのユーザの規定した最大距離(グリッドで表現される)の範疇にあるセグメントが抽出される。これらのセグメントの所有するネットはnについての可能なアグレッサネットの集合A(n)を決定する。本発明の特定の実施例では、ユーザが最小長さを指定し、その最小長さはネットセグメントがNS(n)内のセグメントと平行に走らなければならないものであり、アグレッサと考えるためのものである。n∪A(n)=S(n)であるとし、ビクティム−アグレッサ集合と呼ぶ。
本発明の特定の実施例では、寄生物抽出器14はn∈Vの各々についてビクティム−アグレッサ集合S(n)の寄生物を生成する。寄生物はRCネットワークを形成し、分散されたネット抵抗、グランドに対する容量及びS(n)中のネット間のカップリング容量を含む。図1はビクティムν及び1つのアグレッサaのようなネットワーク例を示す。本発明の特定の実施例では、タイミングウインドウ生成器16は∪n∈VS(n)中の各ネットmについてのタイミングウインドウを計算する。悲観的なこと及び誤ったタイミング違反を減らすために、タイミングウインドウはmを通過する全てのサブパスの遅延(即ち、各自の開始点からmに至る遅延)を明確に列挙することが好ましい。しかしながらそのような情報を生成するツールは利用可能でない。本発明の特定の実施例では、その代わりにタイミングウインドウ生成器16はプライムタイムを使用し、最短の及び最長のパスのみを計算する。その結果として、タイミングウインドウは最小/最大及び上昇/下降の到達時間と遷移時間だけから成る。これらのタイミングウインドウはアグレッサのネットの波形を生成するために使用され、サブネットSPICEシミュレーションで使用される。本発明の特定の実施例ではパス遅延再計算器18はカップリング及びアグレッサの情報を考慮してp∈Pのクリティカルパス各々の遅延を再計算する。図3はパスpの例を示す。パスpは各地点での遷移方向(上昇又は下降)に沿うセル及びネットの代替物である。経路遅延再計算器18は開始点からパスpを通過し、入力ピンI(ci)から出力ピンO(ci)に至る各セルciの遅延と、O(ci)に根を持つネットniの遅延とを再計算する。パス遅延再計算器18はniのシンクI(ci+1)での到達時間及び遷移時間を更新し、それらはi+1段目での遅延を計算するために後に使用される。このプロセスはpの終点での新たな到達時間が判明するまで続く。
セルci及びネットniの遅延は寄生RCネットワーク(ネットS(ni)のカップリング容量を含む)及びアグレッサネットA(ni)の遷移の全体が存在する場合について算出される。ビクティムの遅延への最大の影響を及ぼすアグレッサα∈A(ni)を計算するために、αのタイミングウインドウがパスpでのniの実際の到達時間を含むならば、αはビクティムniのものとは反対向きの遷移をなす。αの到達時間はniのものに一致し、その遷移時間又はスルーは適切な方向での最小スルーである。このタイミング情報はSTAから得られる。一般にアグレッサの最小スルーは最大遅延の増加を招く。アグレッサのタイミングウインドウがniの到達時間を含まない場合には、αは(niが下降の場合)VDDに又は(niが上昇の場合)GNDに静的に維持される。到達時間、遷移時間及び方向(上昇、下降又は一定VDD/GND)は共にアグレッサ波形を形成する。
ni及びA(ni)について抽出された寄生物はアグレッサ波形と共にSPICEデックに合成される。デックで欠如している唯一の情報はセルciのサイド入力での値である。ciのサイド入力はI(ci)を除くciの全ての入力である。I(ci)での波形は既知であることに留意を要し:その波形は以前のi−1段目から算出される新たな到達時間及び遷移時間に基づく。ciを通じた最悪の遅延を測定するために、全ての可能な値がciのサイド入力で指定される。本発明の一実施例では、各々の場合について遅延がHSPICEにより測定され、これら全ての遅延の最大値がciを通じる最長のピン間遅延を生み出す。これは遅延を計算する単純な手法である。ciでの感度及び入力/出力遷移に関する情報を利用すると、遅延の特徴付けプロセスをかなり高速化する。例えばciが3入力ANDゲートであってクリティカル入力I(ci)=x1が上昇でありその結果としての出力O(ci)も上昇であるならば、上記の単純な手法は4つのSPICEシミュレーションを必要とし、それらはx2,s3での4つのベクトル00,01,10及び11に対応する。しかしながらサイド入力x2,x3が双方とも1である場合しかx1での入力遷移は出力に伝搬できない。従って1つの入力ベクトルのみが適用されシミュレーションされることを要する。これは好ましい手法である。一般にセルciの出力は論理関数f(x1,x2,…,xm)を実現するとする。ここで、I(ci)=x1はクリティカルパスにおけるものである。一般性を失うことなく、x1が上昇遷移をなし、fが下降遷移をなすと仮定できる。本発明の特定の実施例はパターンの最小群を算出し、そのパターンはx1及びfの所与の遷移ペアについてx1からセルciの出力fに至る最悪の遅延を算出するためにシミュレーションされるべきものである。x1が上昇する前では、x1=0及びf=1である。これは条件g(x2,x3,…,xm)=fx’1 に対応し、fx’1はxl=0に対するfの余因子であり、xlが0に設定されている場合でのf=1についてx2乃至xmの入力の組み合わせを正確に表現する。xlが上昇した後にfは下降する。fの他の入力は変化しない。流動的な状態はxl=1及びf=0である。これは条件h(x2,x3,…,xm)= f’x1 に対応し、ここでf’x1 はxlが1に設定されている場合のf=0に関する入力の組み合わせを正確に表現する。変化した唯一の入力はxlであるので、関数gh(x2,x3,…,xm)=
fx’1 f’x1は、xl及びfが指定された方向に遷移する前及び後の双方で可能なx2乃至xmの全ての組み合わせを正確に表現する。fx’1 f’x1はxlが下降しfが上昇する場合についての一群の組み合わせを表す。xl及びf双方が上昇する(又は下降する)場合には、所望の関数はfx’1 f’x’1である。
非限定的な例として、上記の3入力ANDゲートの例を考察する。ここで、xlは上昇であり、その結果としてfが上昇である。f(x1,x2,x3)=x1x2x3。f’(x1,x2,x3)=x’1+x’2+x’3。所望の関数fx1 f’x’1 により、関数fx1 f’x1=(x2x3)(1)=x2x3は、上昇するxlが上昇するfになる場合のx2及びx3の全ての組み合わせを生み出す。これはx2=x3=1を意味する。
本発明の特定の実施例では、ライブラリパターン生成器20が全てのライブラリセルの入出力ピン各々のペア(及びそれらの遷移方向)に上記の分析法を適用し、上記の関数を計算し、シミュレーションを要するパターンの最小集合を生成する。ライブラリパターン生成器20は必須ではないがシーケンシャルインタラクティブシンセシス(SIS)ベースのライブラリプロセッサでもよい。本発明の特定の実施例はこれらのパターンを組み入れ、ciを通じる入力ピンI(ci)から出力ピンO(ci)に至る最悪の遅延を計算する。そのような実施例はI(ci)での到達時間及びセル遅延からO(ci)での到達時間を算出する。この最悪の場合に関連して、そのような実施例はHSPICEを使用し、O(ci)からI(ci+1)への新たなネット遅延(I(ci+1)での新たな到達時間を決定する)及びI(ci+1)での遷移時間を測定する。これはセルciからネットniを介する遅延の再計算を全部行う。pの全てのステージについてこれを反復することはpの新たな遅延tCTを算出し、この遅延はクロストークを考慮した遅延として言及されてよい。
プライムタイム及びHSPICEは異なる遅延の値を生じ得るので、クロストークに起因する遅延変動の正確な計算のために、本発明の特定の実施例は上記の遅延計算プロセスを反復することでpの経路遅延を再計算するが、如何なるアグレッサのスイッチングも利用しない。言い換えれば、全てのアグレッサはVDD又はGNDの何れかに仮定されており、S(ni)のカップリング容量をグランドに対する容量で効果的に置換する。得られるパス遅延はスパイスディレイ(SPICE delay)(tSP)と呼ばれる。
非限定的な例として、本発明の特定の実施例は2つの工業デザイン(D1,D2)に適用されてもよい。両者は0.11μ技術を使用し、1.2VのVDDを有する。表1はこれら2つのデザインにおけるセル及びネットの数を示す。デザインはそれらが良好に配置され詳細にルーティングされた後に分析される。レイアウトの寄生物も抽出され、STAツール”タイムバージョン2002.03-SP1で使用される。
Figure 2006107517
先ずD1の結果が報告される。最初にプライムタイムはD1内の65のクリティカル又は準クリティカルパスを報告する。これらの中で36個のみがユニークである:29個は重複的であることが判明し、排除される。このような有用性は実効時間(ランタイム)を約2倍短縮した。概してランタイムは分析されるパス数に比例するからである。これら36個のパスに関するクリティカル又はビクティムネットの総数は130である。アグレッサネットの総数は309である。平均してビクティムネット毎に約2.4個のアグレッサがある。68のビクティムネットは隣接するアグレッサネットを何ら有しないことがわかる。本発明の特定の実施例はtSP(クロストークのないHSPICE)及びtCT(クロストークのあるHSPICE)を計算するために36個のパスの各々に適用される。これらのパスの中で11個だけが10ピコ秒より長い遅延変化を有することが分かる(即ち、Δt=tCT−tSP≧10ピコ秒)。表2は11個のパスの各々に関する遅延情報を与える。パス7及び10は350ピコ秒より長い最大値Δtを有する。これは経路7にて4つのアグレッサを有するネットnilが存在することを発見するという更なる調査を促す。nilはそれらの2つに関して950μの及び他の2つに関して180−255μのオーバーラップ長を有する。経路10ではかなりのオーバーラップ(400−650μ)を有する2つのネットが存在する。これらのパス、それらのtSP,tCT遅延及びアグレッサに関するオーバーラップ長は設計者に報告され、設計者はカップリングがこれらのパスを長くする原因になること及びこれらのパスが関連するビクティムを動かす原因になること並びに互いに離れたアグレッサネットが遅延の増加を減らす原因になることを確認する。
Figure 2006107517
第2のデザインD2ではプライムタイムは60個のユニークなクリティカルパスを報告している。全体でこれらのパスに450個のビクティムネットがある。それらは合計247個のアグレッサネットを有する。336個のクリティカルパスは何らのアグレッサも有しないことが分かった。本発明の特定の実施例は10ピコ秒より多くの遅延増加分を有する4つのパスを発見した。これらのパスは表3に掲げられている。D2がDのものよりクロストークの影響が少ない主な理由は、ビクティムネット当りの平均アグレッサ数がD2では0.55であり、D1では2.4であったことである。これはクロストークを防ぐためにD2が設計者により既に最適化されたことに起因する。この設計バージョンはかなりのカップリングを有するネットセグメント間の間隔を増やした後で得られる。2つのネットセグメント間のオーバーラップが20μより少ないものは、かなりのカップリング容量にならない傾向がある。
Figure 2006107517
非限定的な例として、ゲート遅延におけるクロストークの影響の実験値及びパス遅延劣化に対するゲート遅延変化の相対的な寄与Δtを考察する。チップD1に関し、表2で報告されている各パスについて、クロストークによるゲート遅延変化の総和が計算される。これは表2でΔgの列に列挙されている。百分率はΔg/Δt(%)の列に示されている。例えばパス1の場合クロストークは41.02ピコ秒の遅延増加になり、そのうち34.29ピコ秒がゲート遅延増分によりもたらされている。相互接続によっては6.73ピコ秒しか増えていない。ほとんど全てのパスについてΔtに対するゲート遅延変化の寄与は83%を上回り、ゲート遅延に関するクロストークの相当な影響を示す。従って正確にモデル化すること及び相互接続遅延だけでなくゲート遅延も計算することが重要である。
本発明の実施例に関する精度について、表2によればパスpのプライムタイム遅延tPTはtSPと平均的に72.5ピコ秒だけ相違する。これら全てのパスについてプライムタイム遅延値はより多くなる。このかなりの相違はおそらくは次の3つの事項に起因し、それらは:(1)セル遅延を計算するためにプライムタイムは出力ピンにて1つのCeffへの相互接続を減らしていること;(2)プライムタイムはセル遅延を計算するためにルックアップテーブルに基づく手法を利用すること及び(3)プライムタイムは相互接続による遅延をHSPICEと同程度に正確に計算しないことである。プライムタイムで計算された相互接続遅延がHSPICEで計算されたものと10%より多い幾つかの場合がある。通常的にはプライムタイムで算出された相互接続遅延はより小さく、このことは本発明の特定の実施例でHSPICEを用いることを正当化する。
以下のデータはクロストークに関するパスベース分析の不正確さに次ぐネットベース分析の不正確さを強調する。ネットベース分析ではネットの最大到達時間はアグレッサの波形を導出するために使用される。デザインD1ではtm=4694ピコ秒の最大到達時間を有するクリティカルネットnがある。ネットベース分析はtmでスイッチングするアグレッサになる。この場合ただ1つのアグレッサタイミングウインドウがtmを含む。しかしながらnは2つのクリティカルパスに及びそれらのパスの1つにおけるものであり、表2のパス7ではnの到達時間はt=3520ピコ秒である。パス7はネットベース分析を用いて正確に分析されない。なぜならアグレッサスイッチング時間はtmに強制され、その時間は正確な値tとかなり相違するからである。ネットベース分析はパス7の遅延がtSPに関して15ピコ秒より少なく変化することを算出する。しかしながら本発明の特定の実施例によるパスベース分析ではアグレッサのスイッチング時間はtmでなくtに設定される。ネットnはtを含むタイミングウインドウを有する2つのアグレッサを有する。これら2つのアグレッサのスイッチング時間をtに設定し、その分析を実行することで、パス7の遅延は表2に示されるようにtSPより350ピコ秒多く増加する。パス10は同様な事例を与える。(最悪の状況をモデル化するためにスイッチングすべきアグレッサ及びそのスイッチング時間の観点から、及び単独のネットで様々な信号遅延時間を区別できないことの観点から)この例はネットベースのクロストーク遅延分析に固有の不正確性を示し、パスベース分析の例を強めている。
非限定的な例として、クロストークがある場合における単純な(ナイーブな)手法及びスマートな手法のセル遅延特性に関する比較例を考察する。ナイーブな手法は上述のように全ての可能な入力遷移をセルのサイド入力に適用するが、スマートな手法は必要なベクトルの最小集合にしか適用しない。D1についてスマートな手法を使用すると、36パスに関するHSPICEシミュレーションの総数はナイーブな手法による484から327に減少し、その減量は32%であった。特徴付けの全ランタイムは173分から109分に下がり、1.59倍スピードアップした。これはスマート手法の遅延計算についての有効性を示す。
本発明の特定の実施例は設計におけるクリティカルパスの遅延に対するクロストークの影響を測定する分析ツールを含む。クロストークを考慮した遅延情報は設計者により使用され、クロストークを減らし或いはクロストークを防止さえするために設計内容を修正する。他の手法と比較して、本発明の特定の実施例は高精度な遅延計算機能を与える。特定の実施例はセル、ネット及び相互接続を削減するための近似的なモデルの使用を回避する。特定の実施例はパスベースの手法を使用し、クリティカルネット及びそれらのアグレッサに関する詳細且つ正確な分散RC寄生物を使用し、BSIM3高精度ゲートモデルを使用し、そして入力パターンの最小完全セットだけを用いて遅延計算用のHSPICEを起動する。上述したように2つの実際の設計に対する本発明の特定の実施例のアプリケーションは、クロストークの影響が一方のデザインD1のものより非常に大きく、その理由は以前のクロストーク最適化により他方のデザインD2中のかなりの数のクリティカルネットセグメントが何らの隣接するネットも持たないことに起因する。更に上述されているようにクロストークはゲート遅延に深刻に影響を与える傾向があり、これはゲート遅延を正確にモデリングすることの重要性を示す。
上述したように以前のネットベースのクロストーク推定作業は一般的には悲観的であり、厳しい精度の条件には合わない。一方、完全なパスベース手法は正確ではあるが設計における指数関数的なパス数に起因して現実的ではない。ハイブリッド的な2段階の方法論はこの問題を解決する実現可能な手法である。第1段階は第2段階に伝送するパス数を切り詰める。第1段階では悲観的なネットベースのクロストーク分析を利用してタイミング条件を破るかもしれない実際のパスの拡大集合(superset)を報告してもよいし、或いは本発明の他の実施例のように単に最もクリティカルな又は準クリティカルなパスが選択されてもよい。そして第2段階は第1段階で選択したパス各々のクロストークの影響を正確に分析し、上述したような真の違反を判別する。
本発明の特定の実施例は(各ビクティムネットについて一度起動される)抽出ツールStarXtract及びHSPICEをセル遅延の特徴づけに実質的に使用する。スマートパターン生成は遅延特徴づけを高速化するが、遅延計算及び抽出はフローの中で問題点を生み出す傾向がある。本発明の特定の実施例は約150パスまでを分析するのに有効である。それを超えると、選択されたパスでのネット総数に依存してランタイムが大きくなるかもしれない。本発明の特定の実施例は高速な抽出及び回路シミュレーション技術を利用する。他の解決手段は並列的な計算である。並列化は様々なレベルで実行されてもよい。様々なパスが並列に分析されてもよい。付加的に又は代替的に各ビクティムネット及びその関連するアグレッサについての抽出は並列になされてもよい。また遅延再計算中に1つの段階に対して複数のHSPICE呼び出しが並列的になされてもよい。
本発明の特定の実施例は、ビクティム遅延に対する最大の影響を得るために、アグレッサのタイミングウインドウがビクティムの到達時間を含んでいるならば、アグレッサ到達時間はビクティム到達時間に一致させてもよいことを仮定している。しかしながらこれは一度には不可能かもしれない、なぜならプライムタイムで算出されたタイミングウインドウはある段階での最小及び最大の到達時間についての情報しか含んでいない。より多くの詳細なタイミング情報を格納することはこの問題の軽減に役立つかもしれない。
本発明の特定の実施例ではHSPICEシミュレーションについてアグレッサ到達時間はプライムタイムで報告されるように(目下考察しているパスについての)ビクティムネットのものから導出される。その理由はアグレッサが存在する場合の真のビクティム到達時間は事前には知られていないのが一般的だからである。表2はプライムタイム及びHSPICE数の間の相違を示す。以下はこの問題を解決する。プライムタイムにより報告されるようなビクティム到達時間が、カップリングのある場合のHSPICEにより算出されたものと(例えば5ピコ秒より多く)相違する場合には、新たな到達時間を用いてアグレッサ波形を生成し、遅延特徴づけが反復されてもよい。この処置は収束が遅ければ高くつき、その場合には反復の最大数を制限することが有益である。
本発明の特定の実施例は入力ベクトル対の存否を検査せず、その入力ベクトル対はある時間にビクティムとは反対向きにアグレッサに遷移を起こさせるものである。そのような実施例はそのような対が存在することを仮定している。そのような検査は自動テストパターン生成(ATPG)又は充足可能性検査(SAT:satisfiability checking)を用いて実行可能であるが、信号到達時間、遷移時間及びゲート遅延が組み込まれるべきである。本発明の特定の実施例はネット内の遷移するファンにおけるカップリングに起因するアグレッサのタイミングウインドウの如何なる変化をも無視する。
図4はクロストークを考慮したタイミング分析の方法例を示す。本方法はステップ100から始まり、クロストーク分析ツールが回路の設計内容にアクセスする。ステップ102においてクロストーク分析ツールはその設計内容での1以上のクリティカルパスを確認する。ステップ104ではクロストーク分析ツールは各ビクティム相互接続に関する1以上の潜在的なアグレッサ相互接続を確認する。ステップ106ではクロストーク分析ツールは各ビクティム相互接続についてそのビクティム相互接続に関する1以上の寄生物を及びそのビクティム相互接続に関する1以上の潜在的なアグレッサ相互接続を抽出する。ステップ108ではクロストーク分析ツールは潜在的なアグレッサ相互接続のタイミングウインドウを計算する。ステップ110ではクロストーク分析ツールは各クリティカルパスに関するセル各々及びビクティム相互接続各々の第1のタイミングを算出する。ステップ112ではクロストーク分析ツールは、クリティカルパスの第1のものに関する各セル及び各ビクティム相互接続の第1のタイミングによる潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関する第1のクリティカルパスでのビクティム相互接続の寄生物とを生成する。ステップ114ではクロストーク分析ツールは第1のクリティカルパスの開始点から第1のクリティカルパスの終点まで第1のクリティカルパスを通過する。ステップ116では潜在的なアグレッサ相互接続のタイミング波形、潜在的なアグレッサ相互接続に関する第1のクリティカルパスのビクティム相互接続の寄生物、及び第1のクリティカルパス上のセルに直前の何らかのセルの何らかの第2タイミングを利用して、クロストーク分析ツールは第1のクリティカルパスの通過に応じて第1のクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する。
ステップ118ではクロストーク分析ツールが分析用の第1のクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する。ステップ120にてクロストーク分析ツールがステップ102で確認された全てのクリティカルパスを分析していたならば、本方法は終了する。ステップ120にてクロストーク分析ツールがステップ102で確認された全てのクリティカルパスを未だ分析していない場合には、本方法はステップ122に進む。ステップ122ではクロストーク分析ツールは、そのクリティカルパスの1つ隣での各セル及び各ビクティム相互接続の第1のタイミングによる潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関する隣のクリティカルパスでのビクティム相互接続の寄生物とを生成する。ステップ124ではクロストーク分析ツールは次のクリティカルパスの開始点から次のクリティカルパスの終点まで次のクリティカルパスを通る。ステップ126では潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関する隣接するクリティカルパスでのビクティム相互接続の寄生物と、隣接するクリティカルパスでの何らかの直前のセルの何らかの第2タイミングとを利用して、クロストーク分析ツールは隣接するクリティカルパスの通過に応じて隣接するクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する。ステップ128にてクロストーク分析ツールが分析用に隣接するクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを通信し、本方法はステップ120に戻る。
図4に示される方法では特定のステップが図示され、特定の順序で生じるように説明されているが、本発明は図4に示される方法の適切な如何なるステップをも想定しており、適切な如何なる順序でもそれをもたらす。非限定的な例として、図4に図示される2以上の適切なステップは連続的ではなく並列的に行われてもよい。
本発明を説明するために特定の実施例が使用されてきたが、当業者は本発明を説明するために使用された実施例に1以上の変更、置換、変形、代替又は修正を施すことができるであろう。本発明はそのような変更、置換、変形、代替及び修正を特許請求の範囲内に包含する。
以下、本発明により教示される手段を例示的に列挙する。
(付記1)
クロストークを考慮したタイミング分析のためのシステムであって、クロストーク分析モジュールから構成され、該クロストーク分析モジュールは:
回路の設計内容にアクセスし;
前記設計内容の中で1以上のクリティカルパスを確認し、各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成され;
各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認し;
各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出し;
潜在的なアグレッサ相互接続のタイミングウインドウを計算し;
各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算し;及び
各クリティカルパスについて:
クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;
クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び
潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する;
ことを特徴とするクロストークを考慮したタイミング分析のためのシステム。
(付記2)
潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れる
ことを特徴とする付記1記載のシステム。
(付記3)
潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れない
ことを特徴とする付記1記載のシステム。
(付記4)
潜在的なアグレッサ相互接続各々の各タイミングウインドウが、最小の及び最大の上昇する及び下降する到達時間及び遷移時間より成る
ことを特徴とする付記1記載のシステム。
(付記5)
クリティカルパスでの各セル及び各ビクティム相互接続の第1及び第2タイミングが、1以上の上昇する及び下降する到達時間及び遷移時間より成る
ことを特徴とする付記1記載のシステム。
(付記6)
前記クロストーク分析モジュールが更にクリティカルパスの開始点とクリティカルパス上のセル又はビクティム相互接続との間のクリティカルパス上の全てのセル及び全てのビクティム相互接続を通じた全ての遅延の総和に応じてクリティカルパス上のセル又はビクティム相互接続の上昇する又は下降する到達時間を算出し、前記クリティカルパスの上昇する又は下降する到達時間を該クロストーク分析モジュールが計算する
ことを特徴とする付記5記載のシステム。
(付記7)
クロストーク分析モジュールが更に潜在的なアグレッサ相互接続のタイミング波形を生成するのと平行してクリティカルパスの2以上の各々を処理し、クリティカルパスをたどり、クリティカルパス上の各セル及び各ビクティム相互接続の第2タイミングを算出する
ことを特徴とする付記1記載のシステム。
(付記8)
クロストーク分析モジュールが更に2以上のビクティム相互接続の寄生物を並列的に抽出する
ことを特徴とする付記1記載のシステム。
(付記9)
前記クロストーク分析モジュールが更にクリティカルパス上のセルの第2タイミングを算出すること及びセルの複数のシミュレーションをすることを並列的に行う
ことを特徴とする付記1記載のシステム。
(付記10)
クロストーク分析モジュールはクロストークを考慮せずに、設計内容を正確にモデリングせずに又は双方ともせずに、設計のクリティカルパスを確認する
ことを特徴とする付記1記載のシステム。
(付記11)
クロストーク分析モジュールは設計における相互接続を互いに分離する距離に応じて潜在的なアグレッサ相互接続を更に確認する
ことを特徴とする付記1記載のシステム。
(付記12)
前記開始点がクリティカルパスの入力ピンであり、前記終点がクリティカルパスの出力ピンである
ことを特徴とする付記1記載のシステム。
(付記13)
1以上の寄生物の各々が1以上の分散したカップリング容量、1以上のセルフキャパシタンス及び1以上の抵抗のうちの1以上より成る
ことを特徴とする付記1記載のシステム。
(付記14)
クロストークを考慮したタイミング分析のための方法であって:
回路の設計内容にアクセスし;
前記設計内容の中で1以上のクリティカルパスを確認し、各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成され;
各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認し;
各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出し;
潜在的なアグレッサ相互接続のタイミングウインドウを計算し;
各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算し;及び
各クリティカルパスについて:
クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;
クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び
潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する;
ことを特徴とするクロストークを考慮したタイミング分析のための方法。
(付記15)
潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れる
ことを特徴とする付記14記載の方法。
(付記16)
潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れない
ことを特徴とする付記14記載の方法。
(付記17)
潜在的なアグレッサ相互接続各々の各タイミングウインドウが、最小の及び最大の上昇する及び下降する到達時間及び遷移時間より成る
ことを特徴とする付記14記載の方法。
(付記18)
クリティカルパスでの各セル及び各ビクティム相互接続の第1及び第2タイミングが、1以上の上昇する及び下降する到達時間及び遷移時間より成る
ことを特徴とする付記14記載の方法。
(付記19)
クリティカルパスの開始点とクリティカルパス上のセル又はビクティム相互接続との間のクリティカルパス上の全てのセル及び全てのビクティム相互接続を通じた全ての遅延の総和に応じてクリティカルパス上のセル又はビクティム相互接続の上昇する又は下降する到達時間を算出し、前記クリティカルパスの上昇する又は下降する到達時間をクロストーク分析モジュールが計算する
ことを特徴とする付記18記載の方法。
(付記20)
潜在的なアグレッサ相互接続のタイミング波形を生成するのと平行してクリティカルパスの2以上の各々を処理し、クリティカルパスをたどり、クリティカルパス上の各セル及び各ビクティム相互接続の第2タイミングを算出する
ことを特徴とする付記14記載の方法。
(付記21)
2以上のビクティム相互接続の寄生物を並列的に抽出する
ことを特徴とする付記14記載の方法。
(付記22)
クリティカルパス上のセルの第2タイミングを算出すること及びセルの複数のシミュレーションをすることを並列的に行う
ことを特徴とする付記14記載の方法。
(付記23)
クロストークを考慮せずに、設計内容を正確にモデリングせずに又は双方ともせずに、設計のクリティカルパスを確認する
ことを特徴とする付記14記載の方法。
(付記24)
設計における相互接続を互いに分離する距離に応じて潜在的なアグレッサ相互接続を更に確認する
ことを特徴とする付記14記載の方法。
(付記25)
前記開始点がクリティカルパスの入力ピンであり、前記終点がクリティカルパスの出力ピンである
ことを特徴とする付記14記載の方法。
(付記26)
1以上の寄生物の各々が1以上の分散したカップリング容量、1以上のセルフキャパシタンス及び1以上の抵抗のうちの1以上より成る
ことを特徴とする付記14記載の方法。
(付記27)
クロストークを考慮したタイミング分析のための論理装置であって、実行用に1以上の媒体にエンコードされ、実行時に:
回路の設計内容にアクセスし;
前記設計内容の中で1以上のクリティカルパスを確認し、各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成され;
各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認し;
各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出し;
潜在的なアグレッサ相互接続のタイミングウインドウを計算し;
各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算し;及び
各クリティカルパスについて:
クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;
クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び
潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する;
ことを特徴とするクロストークを考慮したタイミング分析のための論理装置。
(付記28)
潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れる
ことを特徴とする付記27記載の論理装置。
(付記29)
潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れない
ことを特徴とする付記27記載の論理装置。
(付記30)
潜在的なアグレッサ相互接続各々の各タイミングウインドウが、最小の及び最大の上昇する及び下降する到達時間及び遷移時間より成る
ことを特徴とする付記27記載の論理装置。
(付記31)
クリティカルパスでの各セル及び各ビクティム相互接続の第1及び第2タイミングが、1以上の上昇する及び下降する到達時間及び遷移時間より成る
ことを特徴とする付記27記載の論理装置。
(付記32)
クリティカルパスの開始点とクリティカルパス上のセル又はビクティム相互接続との間のクリティカルパス上の全てのセル及び全てのビクティム相互接続を通じた全ての遅延の総和に応じてクリティカルパス上のセル又はビクティム相互接続の上昇する又は下降する到達時間を算出し、前記クリティカルパスの上昇する又は下降する到達時間を該クロストーク分析モジュールが計算する
ことを特徴とする付記31記載の論理装置。
(付記33)
潜在的なアグレッサ相互接続のタイミング波形を生成するのと平行してクリティカルパスの2以上の各々を処理し、クリティカルパスをたどり、クリティカルパス上の各セル及び各ビクティム相互接続の第2タイミングを算出する
ことを特徴とする付記27記載の論理装置。
(付記34)
2以上のビクティム相互接続の寄生物を並列的に抽出する
ことを特徴とする付記27記載の論理装置。
(付記35)
クリティカルパス上のセルの第2タイミングを算出すること及びセルの複数のシミュレーションをすることを並列的に行う
ことを特徴とする付記27記載の論理装置。
(付記36)
クロストークを考慮せずに、設計内容を正確にモデリングせずに又は双方ともせずに、設計のクリティカルパスを確認する
ことを特徴とする付記27記載の論理装置。
(付記37)
設計における相互接続を互いに分離する距離に応じて潜在的なアグレッサ相互接続を更に確認する
ことを特徴とする付記27記載の論理装置。
(付記38)
前記開始点がクリティカルパスの入力ピンであり、前記終点がクリティカルパスの出力ピンである
ことを特徴とする付記27記載の論理装置。
(付記39)
1以上の寄生物の各々が1以上の分散したカップリング容量、1以上のセルフキャパシタンス及び1以上の抵抗のうちの1以上より成る
ことを特徴とする付記27記載の論理装置。
(付記40)
クロストークを考慮したタイミング分析のためのシステムであって:
回路の設計内容にアクセスする手段;
前記設計内容の中で1以上のクリティカルパスを確認する手段であって、各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成される手段;
各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認する手段;
各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出する手段;
潜在的なアグレッサ相互接続のタイミングウインドウを計算する手段;
各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算する手段;及び
各クリティカルパスについて:
クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;
クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び
潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する手段;
を有することを特徴とするクロストークを考慮したタイミング分析のためのシステム。
クロストークの例を示す図である。 クロストークを考慮したタイミング分析例を示す図である。 パスの例を示す図である。 クロストークを考慮したタイミング分析の方法例を示す図である。
符号の説明
10 パス生成器
12 アグレッサネット生成器
14 寄生物抽出器
16 タイミングウインドウ生成器
18 パス遅延再計算器
20 ライブラリパターン生成器

Claims (10)

  1. クロストークを考慮したタイミング分析のためのシステムであって、クロストーク分析モジュールから構成され、該クロストーク分析モジュールは:
    回路の設計内容にアクセスし;
    前記設計内容の中で1以上のクリティカルパスを確認し、各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成され;
    各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認し;
    各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出し;
    潜在的なアグレッサ相互接続のタイミングウインドウを計算し;
    各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算し;及び
    各クリティカルパスについて:
    クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;
    クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び
    潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する;
    ことを特徴とするクロストークを考慮したタイミング分析のためのシステム。
  2. 潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れる
    ことを特徴とする請求項1記載のシステム。
  3. 潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れない
    ことを特徴とする請求項1記載のシステム。
  4. クロストークを考慮したタイミング分析のための方法であって:
    回路の設計内容にアクセスし;
    前記設計内容の中で1以上のクリティカルパスを確認し、各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成され;
    各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認し;
    各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出し;
    潜在的なアグレッサ相互接続のタイミングウインドウを計算し;
    各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算し;及び
    各クリティカルパスについて:
    クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;
    クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び
    潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する;
    ことを特徴とするクロストークを考慮したタイミング分析のための方法。
  5. 潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れる
    ことを特徴とする請求項4記載の方法。
  6. 潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れない
    ことを特徴とする請求項4記載の方法。
  7. クロストークを考慮したタイミング分析のための論理装置であって、実行用に1以上の媒体にエンコードされ、実行時に:
    回路の設計内容にアクセスし;
    前記設計内容の中で1以上のクリティカルパスを確認し、各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成され;
    各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認し;
    各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出し;
    潜在的なアグレッサ相互接続のタイミングウインドウを計算し;
    各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算し;及び
    各クリティカルパスについて:
    クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;
    クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び
    潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する;
    ことを特徴とするクロストークを考慮したタイミング分析のための論理装置。
  8. 潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れる
    ことを特徴とする請求項7記載の論理装置。
  9. 潜在的なアグレッサ相互接続のタイミングウインドウの計算、クリティカルパスでの各セル及び各ビクティム相互接続の第1タイミングの計算又は両者がクロストークを考慮に入れない
    ことを特徴とする請求項7記載の論理装置。
  10. クロストークを考慮したタイミング分析のためのシステムであって:
    回路の設計内容にアクセスする手段;
    前記設計内容の中で1以上のクリティカルパスを確認する手段であって、各クリティカルパスは1以上のビクティム相互接続及び1以上のセルから構成される手段;
    各ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続を確認する手段;
    各ビクティム相互接続について、ビクティム相互接続の1以上の寄生物と、ビクティム相互接続に関連する1以上の潜在的なアグレッサ相互接続とを抽出する手段;
    潜在的なアグレッサ相互接続のタイミングウインドウを計算する手段;
    各クリティカルパス上で各セル及び各ビクティム相互接続の第1タイミングを計算する手段;及び
    各クリティカルパスについて:
    クリティカルパス上の各セル及び各ビクティム相互接続の第1タイミングと、潜在的なアグレッサ相互接続のタイミングウインドウと、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物とに従って潜在的なアグレッサ相互接続の1以上のタイミング波形を生成し;
    クリティカルパスの開始点からクリティカルパスの終点までクリティカルパスをたどり;及び
    潜在的なアグレッサ相互接続のタイミング波形と、潜在的なアグレッサ相互接続に関連するクリティカルパス上のビクティム相互接続の寄生物と、クリティカルパス上の直前の何らかのセルの何らかの第2タイミングとを使用して、クリティカルパスの通過に応じてクリティカルパスでの各セル及び各ビクティム相互接続の第2タイミングを計算する手段;
    を有することを特徴とするクロストークを考慮したタイミング分析のためのシステム。
JP2005294029A 2004-10-08 2005-10-06 クロストークを考慮したタイミング分析のためのシステム、方法及び論理装置 Expired - Fee Related JP4634269B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US61728304P 2004-10-08 2004-10-08
US11/178,111 US7383522B2 (en) 2004-10-08 2005-07-08 Crosstalk-aware timing analysis

Publications (2)

Publication Number Publication Date
JP2006107517A true JP2006107517A (ja) 2006-04-20
JP4634269B2 JP4634269B2 (ja) 2011-02-16

Family

ID=36773269

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005294029A Expired - Fee Related JP4634269B2 (ja) 2004-10-08 2005-10-06 クロストークを考慮したタイミング分析のためのシステム、方法及び論理装置

Country Status (3)

Country Link
US (1) US7383522B2 (ja)
JP (1) JP4634269B2 (ja)
CN (1) CN100446010C (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008242688A (ja) * 2007-03-27 2008-10-09 Kochi Univ クロストーク検証装置およびクロストーク回避設計装置

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6658091B1 (en) 2002-02-01 2003-12-02 @Security Broadband Corp. LIfestyle multimedia security system
US11316958B2 (en) 2008-08-11 2022-04-26 Icontrol Networks, Inc. Virtual device systems and methods
US10237237B2 (en) 2007-06-12 2019-03-19 Icontrol Networks, Inc. Communication protocols in integrated systems
US9141276B2 (en) 2005-03-16 2015-09-22 Icontrol Networks, Inc. Integrated interface for mobile device
US10142392B2 (en) 2007-01-24 2018-11-27 Icontrol Networks, Inc. Methods and systems for improved system performance
US8988221B2 (en) 2005-03-16 2015-03-24 Icontrol Networks, Inc. Integrated security system with parallel processing architecture
US20170118037A1 (en) 2008-08-11 2017-04-27 Icontrol Networks, Inc. Integrated cloud system for premises automation
US9191228B2 (en) 2005-03-16 2015-11-17 Icontrol Networks, Inc. Cross-client sensor user interface in an integrated security network
US20120066608A1 (en) 2005-03-16 2012-03-15 Ken Sundermeyer Control system user interface
US11190578B2 (en) 2008-08-11 2021-11-30 Icontrol Networks, Inc. Integrated cloud system with lightweight gateway for premises automation
US8635350B2 (en) 2006-06-12 2014-01-21 Icontrol Networks, Inc. IP device discovery systems and methods
US10156959B2 (en) 2005-03-16 2018-12-18 Icontrol Networks, Inc. Cross-client sensor user interface in an integrated security network
US10721087B2 (en) 2005-03-16 2020-07-21 Icontrol Networks, Inc. Method for networked touchscreen with integrated interfaces
US11159484B2 (en) 2004-03-16 2021-10-26 Icontrol Networks, Inc. Forming a security network including integrated security system components and network devices
US11489812B2 (en) 2004-03-16 2022-11-01 Icontrol Networks, Inc. Forming a security network including integrated security system components and network devices
US11343380B2 (en) 2004-03-16 2022-05-24 Icontrol Networks, Inc. Premises system automation
US11244545B2 (en) 2004-03-16 2022-02-08 Icontrol Networks, Inc. Cross-client sensor user interface in an integrated security network
US11916870B2 (en) 2004-03-16 2024-02-27 Icontrol Networks, Inc. Gateway registry methods and systems
US20160065414A1 (en) 2013-06-27 2016-03-03 Ken Sundermeyer Control system user interface
US11811845B2 (en) 2004-03-16 2023-11-07 Icontrol Networks, Inc. Communication protocols over internet protocol (IP) networks
US10127802B2 (en) 2010-09-28 2018-11-13 Icontrol Networks, Inc. Integrated security system with parallel processing architecture
US11277465B2 (en) 2004-03-16 2022-03-15 Icontrol Networks, Inc. Generating risk profile using data of home monitoring and security system
US10382452B1 (en) 2007-06-12 2019-08-13 Icontrol Networks, Inc. Communication protocols in integrated systems
US11201755B2 (en) 2004-03-16 2021-12-14 Icontrol Networks, Inc. Premises system management using status signal
US9609003B1 (en) 2007-06-12 2017-03-28 Icontrol Networks, Inc. Generating risk profile using data of home monitoring and security system
US11368429B2 (en) 2004-03-16 2022-06-21 Icontrol Networks, Inc. Premises management configuration and control
US8963713B2 (en) 2005-03-16 2015-02-24 Icontrol Networks, Inc. Integrated security network with security alarm signaling system
US11113950B2 (en) 2005-03-16 2021-09-07 Icontrol Networks, Inc. Gateway integrated with premises security system
US10444964B2 (en) 2007-06-12 2019-10-15 Icontrol Networks, Inc. Control system user interface
US11582065B2 (en) 2007-06-12 2023-02-14 Icontrol Networks, Inc. Systems and methods for device communication
US10313303B2 (en) 2007-06-12 2019-06-04 Icontrol Networks, Inc. Forming a security network including integrated security system components and network devices
US12063220B2 (en) 2004-03-16 2024-08-13 Icontrol Networks, Inc. Communication protocols in integrated systems
US10375253B2 (en) 2008-08-25 2019-08-06 Icontrol Networks, Inc. Security system with networked touchscreen and gateway
US20050216302A1 (en) 2004-03-16 2005-09-29 Icontrol Networks, Inc. Business method for premises management
US10522026B2 (en) 2008-08-11 2019-12-31 Icontrol Networks, Inc. Automation system user interface with three-dimensional display
US10200504B2 (en) 2007-06-12 2019-02-05 Icontrol Networks, Inc. Communication protocols over internet protocol (IP) networks
US9531593B2 (en) 2007-06-12 2016-12-27 Icontrol Networks, Inc. Takeover processes in security network integrated with premise security system
US11677577B2 (en) 2004-03-16 2023-06-13 Icontrol Networks, Inc. Premises system management using status signal
US9729342B2 (en) 2010-12-20 2017-08-08 Icontrol Networks, Inc. Defining and implementing sensor triggered response rules
US20090077623A1 (en) 2005-03-16 2009-03-19 Marc Baum Security Network Integrating Security System and Network Devices
US10339791B2 (en) 2007-06-12 2019-07-02 Icontrol Networks, Inc. Security network integrated with premise security system
US7711796B2 (en) 2006-06-12 2010-05-04 Icontrol Networks, Inc. Gateway registry methods and systems
JP4284235B2 (ja) * 2004-06-07 2009-06-24 富士通株式会社 配線選択方法及び装置、配線選択プログラム及び配線選択プログラムを記録したコンピュータ読取可能な記録媒体、並びに、遅延改善方法
US20120324566A1 (en) 2005-03-16 2012-12-20 Marc Baum Takeover Processes In Security Network Integrated With Premise Security System
US10999254B2 (en) 2005-03-16 2021-05-04 Icontrol Networks, Inc. System for data routing in networks
US11496568B2 (en) 2005-03-16 2022-11-08 Icontrol Networks, Inc. Security system with networked touchscreen
US20170180198A1 (en) 2008-08-11 2017-06-22 Marc Baum Forming a security network including integrated security system components
US9306809B2 (en) 2007-06-12 2016-04-05 Icontrol Networks, Inc. Security system with networked touchscreen
US11700142B2 (en) 2005-03-16 2023-07-11 Icontrol Networks, Inc. Security network integrating security system and network devices
US20110128378A1 (en) 2005-03-16 2011-06-02 Reza Raji Modular Electronic Display Platform
US11615697B2 (en) 2005-03-16 2023-03-28 Icontrol Networks, Inc. Premise management systems and methods
JP4521309B2 (ja) * 2005-04-07 2010-08-11 東芝マイクロエレクトロニクス株式会社 半導体集積回路の解析装置及び解析方法
US8595669B1 (en) * 2007-08-31 2013-11-26 Cadence Design Systems, Inc. Flexible noise and delay modeling of circuit stages for static timing analysis of integrated circuit designs
US8543954B1 (en) * 2007-08-31 2013-09-24 Cadence Design Systems, Inc. Concurrent noise and delay modeling of circuit stages for static timing analysis of integrated circuit designs
US12063221B2 (en) 2006-06-12 2024-08-13 Icontrol Networks, Inc. Activation of gateway device
US10079839B1 (en) 2007-06-12 2018-09-18 Icontrol Networks, Inc. Activation of gateway device
FR2902910B1 (fr) * 2006-06-26 2008-10-10 Coupling Wave Solutions Cws Sa Procede de modelisation du bruit injecte dans un systeme electronique
KR100831271B1 (ko) * 2006-08-16 2008-05-22 동부일렉트로닉스 주식회사 물리적 레이어의 프로그램적 생성을 통한 물리적 레이아웃 데이터를 변경하는 방법
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US7454731B2 (en) * 2006-09-22 2008-11-18 Synopsys, Inc. Generation of engineering change order (ECO) constraints for use in selecting ECO repair techniques
US11706279B2 (en) 2007-01-24 2023-07-18 Icontrol Networks, Inc. Methods and systems for data communication
US7633385B2 (en) 2007-02-28 2009-12-15 Ucontrol, Inc. Method and system for communicating with and controlling an alarm system from a remote server
US8451986B2 (en) 2007-04-23 2013-05-28 Icontrol Networks, Inc. Method and system for automatically providing alternate network access for telecommunications
US11212192B2 (en) 2007-06-12 2021-12-28 Icontrol Networks, Inc. Communication protocols in integrated systems
US10523689B2 (en) 2007-06-12 2019-12-31 Icontrol Networks, Inc. Communication protocols over internet protocol (IP) networks
US11237714B2 (en) 2007-06-12 2022-02-01 Control Networks, Inc. Control system user interface
US11423756B2 (en) 2007-06-12 2022-08-23 Icontrol Networks, Inc. Communication protocols in integrated systems
US11646907B2 (en) 2007-06-12 2023-05-09 Icontrol Networks, Inc. Communication protocols in integrated systems
US10051078B2 (en) 2007-06-12 2018-08-14 Icontrol Networks, Inc. WiFi-to-serial encapsulation in systems
US10666523B2 (en) 2007-06-12 2020-05-26 Icontrol Networks, Inc. Communication protocols in integrated systems
US12003387B2 (en) 2012-06-27 2024-06-04 Comcast Cable Communications, Llc Control system user interface
US10616075B2 (en) 2007-06-12 2020-04-07 Icontrol Networks, Inc. Communication protocols in integrated systems
US11089122B2 (en) 2007-06-12 2021-08-10 Icontrol Networks, Inc. Controlling data routing among networks
US10498830B2 (en) 2007-06-12 2019-12-03 Icontrol Networks, Inc. Wi-Fi-to-serial encapsulation in systems
US11218878B2 (en) 2007-06-12 2022-01-04 Icontrol Networks, Inc. Communication protocols in integrated systems
US10423309B2 (en) 2007-06-12 2019-09-24 Icontrol Networks, Inc. Device integration framework
US11601810B2 (en) 2007-06-12 2023-03-07 Icontrol Networks, Inc. Communication protocols in integrated systems
US11316753B2 (en) 2007-06-12 2022-04-26 Icontrol Networks, Inc. Communication protocols in integrated systems
US10389736B2 (en) 2007-06-12 2019-08-20 Icontrol Networks, Inc. Communication protocols in integrated systems
US11831462B2 (en) 2007-08-24 2023-11-28 Icontrol Networks, Inc. Controlling data routing in premises management systems
US7685549B2 (en) * 2007-09-14 2010-03-23 International Business Machines Corporation Method of constrained aggressor set selection for crosstalk induced noise
US11916928B2 (en) 2008-01-24 2024-02-27 Icontrol Networks, Inc. Communication protocols over internet protocol (IP) networks
US20170185278A1 (en) 2008-08-11 2017-06-29 Icontrol Networks, Inc. Automation system user interface
US11758026B2 (en) 2008-08-11 2023-09-12 Icontrol Networks, Inc. Virtual device systems and methods
US11258625B2 (en) 2008-08-11 2022-02-22 Icontrol Networks, Inc. Mobile premises automation platform
US11729255B2 (en) 2008-08-11 2023-08-15 Icontrol Networks, Inc. Integrated cloud system with lightweight gateway for premises automation
US11792036B2 (en) 2008-08-11 2023-10-17 Icontrol Networks, Inc. Mobile premises automation platform
US9098661B1 (en) * 2008-12-10 2015-08-04 The Mathworks, Inc. Extensible platform for back-annotation of target-specific characterization onto a model of a hardware system
US8468487B1 (en) * 2009-04-22 2013-06-18 Altera Corporation Method and apparatus for implementing cross-talk based booster wires in a system on a field programmable gate array
US8638211B2 (en) 2009-04-30 2014-01-28 Icontrol Networks, Inc. Configurable controller and interface for home SMA, phone and multimedia
US8205181B1 (en) * 2010-03-05 2012-06-19 Applied Micro Circuits Corporation Victim net crosstalk reduction
US8836467B1 (en) 2010-09-28 2014-09-16 Icontrol Networks, Inc. Method, system and apparatus for automated reporting of account and sensor zone information to a central station
US11750414B2 (en) 2010-12-16 2023-09-05 Icontrol Networks, Inc. Bidirectional security sensor communication for a premises security system
US9147337B2 (en) 2010-12-17 2015-09-29 Icontrol Networks, Inc. Method and system for logging security event data
JP5664295B2 (ja) * 2011-02-03 2015-02-04 富士通株式会社 通信装置および通信装置設定方法
US8797096B2 (en) 2011-12-09 2014-08-05 International Business Machines Corporation Crosstalk compensation for high speed, reduced swing circuits
US9141742B2 (en) * 2012-12-31 2015-09-22 Synopsys, Inc. Priori corner and mode reduction
US9032352B2 (en) * 2013-06-05 2015-05-12 Synopsys, Inc. Method of optimizing capacitive couplings in high-capacitance nets in simulation of post-layout circuits
CN103645421B (zh) * 2013-12-13 2016-04-13 桂林电子科技大学 高速互连通路串扰故障测试方法
US11146637B2 (en) 2014-03-03 2021-10-12 Icontrol Networks, Inc. Media content management
US11405463B2 (en) 2014-03-03 2022-08-02 Icontrol Networks, Inc. Media content management
JP6354243B2 (ja) * 2014-03-25 2018-07-11 セイコーエプソン株式会社 撮像装置、画像処理装置、表示制御装置、及び撮像表示装置
US9589096B1 (en) * 2015-05-19 2017-03-07 Cadence Design Systems, Inc. Method and apparatus for integrating spice-based timing using sign-off path-based analysis
US10031995B2 (en) * 2015-09-18 2018-07-24 International Business Machines Corporation Detecting circuit design flaws based on timing analysis
US9836566B2 (en) 2015-11-02 2017-12-05 International Business Machines Corporation Hybrid out of context hierarchical design flow for hierarchical timing convergence of integrated circuits for out of context signoff analysis
CN106066914B (zh) * 2016-06-02 2019-05-31 复旦大学 考虑串扰效应的静态时序分析方法
US9996656B2 (en) 2016-06-27 2018-06-12 International Business Machines Corporation Detecting dispensable inverter chains in a circuit design
US10776543B2 (en) 2018-06-25 2020-09-15 International Business Machines Corporation Automated region based optimization of chip manufacture
KR20210067761A (ko) 2019-11-29 2021-06-08 삼성전자주식회사 나노시트를 포함하는 집적 회로를 제조하기 위한 방법 및 컴퓨팅 시스템

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000048053A (ja) * 1998-07-27 2000-02-18 Toshiba Corp タイミング解析方法
WO2001082145A1 (fr) * 2000-04-21 2001-11-01 Hitachi, Ltd. Procede d'analyse de diaphonie, procede de conception/production de circuit electronique a l'aide dudit procede, et support enregistre de bibliotheque de circuit electronique
JP2002092069A (ja) * 2000-09-18 2002-03-29 Matsushita Electric Ind Co Ltd クロストークを考慮した信号遅延解析方法とそれを用いた遅延改善方法及びlsi設計方法
JP2002280454A (ja) * 2001-03-21 2002-09-27 Hitachi Ltd 半導体装置の設計方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19801732A1 (de) * 1998-01-19 1999-07-22 Thomson Brandt Gmbh Schaltung zur Aufbereitung von Synchronsignalen
US6378109B1 (en) * 1999-07-15 2002-04-23 Texas Instruments Incorporated Method of simulation for gate oxide integrity check on an entire IC
US6405348B1 (en) * 1999-10-27 2002-06-11 Synopsys, Inc. Deep sub-micron static timing analysis in the presence of crosstalk
US6615395B1 (en) * 1999-12-20 2003-09-02 International Business Machines Corporation Method for handling coupling effects in static timing analysis
US6637014B2 (en) * 2001-03-06 2003-10-21 Nec Corporation Crosstalk mitigation method and system
CN1210936C (zh) * 2002-06-18 2005-07-13 华为技术有限公司 一种移动通信系统中定时免打扰业务的实现方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000048053A (ja) * 1998-07-27 2000-02-18 Toshiba Corp タイミング解析方法
WO2001082145A1 (fr) * 2000-04-21 2001-11-01 Hitachi, Ltd. Procede d'analyse de diaphonie, procede de conception/production de circuit electronique a l'aide dudit procede, et support enregistre de bibliotheque de circuit electronique
JP2002092069A (ja) * 2000-09-18 2002-03-29 Matsushita Electric Ind Co Ltd クロストークを考慮した信号遅延解析方法とそれを用いた遅延改善方法及びlsi設計方法
JP2002280454A (ja) * 2001-03-21 2002-09-27 Hitachi Ltd 半導体装置の設計方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008242688A (ja) * 2007-03-27 2008-10-09 Kochi Univ クロストーク検証装置およびクロストーク回避設計装置

Also Published As

Publication number Publication date
CN1783096A (zh) 2006-06-07
CN100446010C (zh) 2008-12-24
JP4634269B2 (ja) 2011-02-16
US7383522B2 (en) 2008-06-03
US20060080627A1 (en) 2006-04-13

Similar Documents

Publication Publication Date Title
JP4634269B2 (ja) クロストークを考慮したタイミング分析のためのシステム、方法及び論理装置
US9218440B2 (en) Timing verification of an integrated circuit
US9152742B1 (en) Multi-phase models for timing closure of integrated circuit designs
US7890915B2 (en) Statistical delay and noise calculation considering cell and interconnect variations
Liou et al. Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices
US9141736B2 (en) Method for power estimation for virtual prototyping models for semiconductors
CN106326510B (zh) 验证时钟树延迟
US5946475A (en) Method for performing transistor-level static timing analysis of a logic circuit
US9298872B2 (en) Apportioning synthesis effort for better timing closure
US7188327B2 (en) Method and system for logic-level circuit modeling
US8707234B1 (en) Circuit noise extraction using forced input noise waveform
US7885801B2 (en) Modeling asynchronous behavior from primary inputs and latches
Tehrani et al. Deep sub-micron static timing analysis in presence of crosstalk
US20110099531A1 (en) Statistical delay and noise calculation considering cell and interconnect variations
US8091052B2 (en) Optimization of post-layout arrays of cells for accelerated transistor level simulation
Kang et al. Seamless SoC verification using virtual platforms: An industrial case study
US8818784B1 (en) Hardware description language (HDL) incorporating statistically derived data and related methods
US12073159B2 (en) Computing device and method for detecting clock domain crossing violation in design of memory device
Benkoski et al. The role of timing verification in layout synthesis
US20120304135A1 (en) Method and apparatus for precision tunable macro-model power analysis
Huang et al. Accurate and efficient static timing analysis with crosstalk
Plassan et al. Improving the efficiency of formal verification: the case of clock-domain crossings
US20120011484A1 (en) Methods for designing integrated circuits employing pre-determined timing-realizable clock-insertion delays and integrated circuit design tools
Kabir et al. Cross-boundary inductive timing optimization for 2.5 D chiplet-package co-design
JP5747734B2 (ja) 遅延時間計算プログラム、装置及び方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080723

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100728

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101109

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101118

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131126

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees