CN100446010C - 考虑串扰的定时分析 - Google Patents

考虑串扰的定时分析 Download PDF

Info

Publication number
CN100446010C
CN100446010C CNB2005101086015A CN200510108601A CN100446010C CN 100446010 C CN100446010 C CN 100446010C CN B2005101086015 A CNB2005101086015 A CN B2005101086015A CN 200510108601 A CN200510108601 A CN 200510108601A CN 100446010 C CN100446010 C CN 100446010C
Authority
CN
China
Prior art keywords
interconnection
critical path
victim
unit
potential attacker
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005101086015A
Other languages
English (en)
Other versions
CN1783096A (zh
Inventor
拉耶瓦·穆尔加
李英华
三吉贵史
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of CN1783096A publication Critical patent/CN1783096A/zh
Application granted granted Critical
Publication of CN100446010C publication Critical patent/CN100446010C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明涉及考虑串扰的定时分析。用于考虑串扰的定时分析的方法包括:访问电路设计并识别所述设计中的关键路径,每个所述关键路径包括一个或多个受害者互连和一个或多个单元;识别与各个受害者互连相关联的潜在攻击者互连,并且对于各个受害者互连,提取受害者网的寄生以及与所述受害者互连相关联的潜在攻击者互连;计算所述潜在攻击者互连的定时窗口并计算各个关键路径上的各个单元和各个受害者互连的第一定时;针对各个关键路径,生成所述潜在攻击者互连的定时波形,从所述关键路径上的起点至所述关键路径上的终点遍历所述关键路径,并根据对所述关键路径的遍历来计算所述关键路径上的各个单元和各个受害者互连的第二定时。

Description

考虑串扰的定时分析
技术领域
本发明总体涉及电路设计,更具体地涉及考虑串扰的定时分析。
背景技术
在深亚微细米电路设计中,因为在将布线相互分隔开的距离减小的同时布线变得更长且更细,所以相邻互连之间的耦合电容就成为严重问题。
发明内容
根据本发明,可以减少或消除与电路设计相关联的缺陷和问题。
在一个实施例中,提供一种用于考虑串扰的定时分析的系统,该系统包括:关键路径生成器,其能够操作以访问电路设计,并识别所述设计中的一个或多个关键路径,每个关键路径包括一个或多个受害者互连和一个或多个单元;攻击者网生成器,其能够操作以识别与各个受害者互连相关联的一个或多个潜在攻击者互连;寄生提取器,其能够操作以对于各个受害者互连,提取受害者互连的一个或多个寄生以及与所述受害者互连相关联的所述一个或多个潜在攻击者互连;定时窗口生成器,其能够操作以计算所述潜在攻击者互连的定时窗口,计算各个所述关键路径上的各个所述单元和各个所述受害者互连的第一定时,对于各个所述关键路径,根据所述关键路径上的各个所述单元和各个所述受害者互连的第一定时、所述潜在攻击者互连的所述定时窗口、以及与所述潜在攻击者互连相关联的所述关键路径上的所述受害者互连的所述寄生,来生成所述潜在攻击者互连的定时波形,从所述关键路径上的起点至所述关键路径上的终点遍历所述关键路径,根据对所述关键路径的遍历,利用所述潜在攻击者互连的所述定时波形、与所述潜在攻击者互连相关联的所述关键路径上的受害者互连的所述寄生、以及所述关键路径上的任一紧邻在前的单元的任一第二定时,来计算所述关键路径上的各个单元和各个受害者互连的第二定时。
在另一个实施例中,提供一种用于考虑串扰的定时分析的方法,该方法包括:访问电路设计;识别该设计中的一个或多个关键路径,每个关键路径包括一个或多个受害者互连和一个或多个单元;识别与各个受害者互连相关联的一个或多个潜在攻击者互连;对于各个受害者互连,提取受害者网中的一个或多个寄生以及与该受害者互连相关联的一个或多个潜在攻击者互连;计算这些潜在攻击者互连的定时窗口;计算各个关键路径上的各个单元和各个受害者互连的第一定时;对于各个关键路径,根据该关键路径上的各个单元和各个受害者互连的第一定时、潜在攻击者互连的定时窗口、以及与潜在攻击者互连相关联的关键路径上的受害者互连的寄生,来生成潜在攻击者互连的一个或更多个定时波形,从该关键路径的起点至该关键路径的终点地遍历该关键路径,使用潜在攻击者互连的定时波形、与该潜在攻击者互连相关联的关键路径上的受害者互连的寄生、以及该关键路径上的紧邻在前的任何单元的任何第二定时,根据对该关键路径的遍历来计算该关键路径上的各个单元和各个受害者互连的第二定时。
在又一个实施例中,提供用于考虑串扰的定时分析的系统,该系统包括:电路设计访问装置,用于访问电路设计;关键路径识别装置,用于识别所述设计中的一个或多个关键路径,每个所述关键路径包括一个或多个受害者互连和一个或多个单元;攻击者互连识别装置,用于识别与各个所述受害者互连相关联的一个或多个潜在攻击者互连;寄生提取装置,用于对于各个所述受害者互连,提取受害者网的一个或多个寄生以及与所述受害者互连相关联的所述一个或多个潜在攻击者互连;定时窗口计算装置,用于计算所述潜在攻击者互连的定时窗口;第一定时计算装置,用于计算各个所述关键路径上的各个所述单元和各个所述受害者互连的第一定时;对于各个所述关键路径还包括:定时波形生成装置,用于根据所述关键路径上的各个所述单元和各个所述受害者互连的第一定时、所述潜在攻击者互连的所述定时窗口、以及与所述潜在攻击者互连相关联的所述关键路径上的所述受害者互连的所述寄生,来生成所述潜在攻击者互连的一个或多个定时波形;遍历装置,用于从所述关键路径上的起点至所述关键路径上的终点遍历所述关键路径;以及第二定时计算装置,用于根据对所述关键路径的遍历,利用所述潜在攻击者互连的所述定时波形、与所述潜在攻击者互连相关联的所述关键路径上的受害者互连的所述寄生、以及所述关键路径上的任一紧邻在前的单元的任一第二定时,来计算所述关键路径上的各个所述单元和各个所述受害者互连的第二定时。
本发明的具体实施例可以提供一个或多个技术优点。作为示例,具体实施例包括用于对串扰对设计中的关键路径的延迟的影响进行测量的分析工具。在具体实施例中,设计者可将考虑串扰的延迟信息用于修改设计以减少或甚至防止串扰。与其它方法相比,本发明的具体实施例提供了高的延迟计算精确度。具体实施例避免了使用单元和网络的近似模型并减少了互连。具体实施例采用基于路径的方法、对关键网和它们的攻击者网使用详细且精确的分布式RC寄生、使用BSIM3精确的门模型、并调用例如HSPICE的精确的分析工具,以仅使用输入图案的最小完整集合来进行延迟计算。
具体实施例可以提供全部的、部分的上述技术优点或不提供上述技术优点。具体实施例可以提供一个或多个其它的技术优点,对于本领域的普通技术人员,这些技术优点中的一个或多个可以根据附图、说明书和权利要求而显见。
附图说明
参照以下结合附图的说明,以提供对本发明及其特征和优点的更加全面的理解,在附图中:
图1示出了串扰示例;
图2示出了考虑串扰的定时分析示例;
图3示出了路径示例;以及
图4示出了用于考虑串扰的定时分析的方法示例。
具体实施方式
图1示出了串扰示例。在深亚微细米电路设计中,因为在将布线彼此分隔开的距离减小的同时布线变得更长并更细,所以相邻的互连之间的耦合电容就成为重要问题。因此,在物理相邻的网络(或互连)之间的考虑串扰的成为重要问题。受影响的网络是受害者,v。邻近的产生影响受害者网v的噪声的网络是攻击者,a。对攻击者网a的引入包括在适当的地方具有一个或多个这样的网络。如图1所示,当攻击者网a以与受害者网v相反的方向切换时,串扰就可以在受害者网v处的到达时间。当攻击者网a以与受害者网v相同的方向切换时,串扰就可以在受害者网v处的到达时间。将在v和a之间分布的耦合电容表示为Cci。串扰还可以导致逻辑障碍和电路故障。例如,如果延迟变化足够大以使得关键路径比时钟周期更慢(由此引发定时扰乱),或者由于与攻击者网a的电容耦合而在受害者v处生成的大尖峰脉冲足够接近脉冲边沿,以在目标触发器处锁存错误值,那么该电路就可能发生故障。在电路设计过程中对由于串扰而产生的延迟变化的精确计算是很重要的。本发明的具体实施例解决了在芯片级设计环境中的这个问题,并在串扰耦合出现的情况下计算精确的路径延迟。本发明的具体实施例提供了用于对路径上的串扰影响和电路延迟的效果进行测量的方法学和工具。在本发明的具体实施例中,该工具是硬件、软件、或嵌入式逻辑元件或是两个或更多个这种元件的组合。在这些实施例中,一个或多个计算机系统向用户提供对这些工具的访问。本发明的具体实施例是基于路径的、不受以往方法中的基于网络的分析中所固有的悲观主义的影响。对于要分析的各个路径p,对于给定受害者网,基于与p有关的受害者网的切换时间来计算真实的攻击者网和它们的切换时间。对于给定的路径p,通过使用HSPICE来非常准确地计算在出现串扰的情况下通过路径中的门和网络的延迟。本发明的具体实施例将网络模拟成分布式RC网。无需使用微模型缩制技术。因为串扰对门延迟、以及网络延迟都有很大影响,所以精确的门延迟模型很重要。与在以往方法中使用的简单电阻模型形成相比,本发明的具体实施例使用了BSMI3门模型。为了计算门延迟,静态定时分析(STA)工具典型地通过单个有效电容Ceff来替代输出网处的互连寄生。对Ceff的计算是近似的,并尽量符合在STA工具中使用的基于输出负载的单元延迟模型。相反,本发明的具体实施例使用了HSPICE和输出网处完全的RC网络,来计算通过门的引脚对引脚的延迟,这提供了基本的准确性。对于单元延迟重新计算,本发明的具体实施例提供了一种方法,即对于给定的输入-输出引脚对和转变方向,生成最小数量的应该被仿真的图案,以导出在最差情况下在关键路径上通过单元的引脚对引脚的延迟。本发明的具体实施例为如下两种情形的关键路径生成SPICE精确延迟报告:一种是在存在切换攻击者和耦合电容的情况下,另一种是在不存在切换攻击者和耦合电容的情况下。这就使得设计者能够更加容易地了解串扰的影响。
一般而言,本发明的具体实施例假设:映射(mapping)、布局和布线设计是可获得的,然后在存在邻近攻击者网的情况下重新计算关键路径集合的延迟。这些实施例首先针对关键路径p的各个网络v识别潜在的攻击者网,然后在这些攻击者网存在的情况下针对v提取寄生。寄生包括分布式耦合电容、自电容、和电阻。这些实施例然后通过从起点起对p进行遍历、在耦合电容和攻击者网转变存在的情况下重新计算通过p上的各个单元以及相关联的输出网络v的延迟和摆动,来重新计算p的延迟。
本发明的具体实施例涉及以下输入和输出。该具体实施例读取映射设计和布线后(post-routed)设计,该设计包括门电平分级网表、布局以及路由数据。设计者还可以提供路径的可选列表P,这些列表是设计者针对在存在电容耦合时的延迟要分析的。如果设计者提供这样的列表,则该列表应该具有P的各个路径上的所有点(焊盘或引脚)的到达时间和瞬变时间。如果设计者不提供路径列表P(在具体实施例中是默认模式),则具体实施例将自动生成中间定时报告Rpt,其包含有设计中的关键路径和关键路径附近的路径的列表P。具体实施例还要求单元库和SPICE模型文件。
本发明的具体实施例可以为以下任务调用计算机辅助设计(CAD)提供商的工具:用于STA的PrimeTime、用于寄生提取的StarXtract和用于电路分析和延迟计算的HSPICE。这些工具来自SYNOPSYS。尽管针对具体任务说明了具体工具是,但是本发明设想对于任何适当任务可以使用任何适当的工具。本发明的具体实施例的输出是两个定时报告Rct和Rsp。定时报告Rct包含有在存在串扰情况下的P中的各个路径p的定时信息。具体实施例报告所有点上的实际到达时间和摆动以及通过p上的单元和网络的延迟。第二报告Rsp包含有相同的定时信息,但是是在没有来自切换攻击者的串扰的情况下。在Rsp和由PrimeTime生成的定时报告Rpt之间的区别在于:Rsp是使用HSPICE生成的。因为与HSPICE相比较,PrimeTime通常是悲观的,例如,PrimeTime报告比HSPICE更高的延迟数,比较Rct与Rsp是优选的:两者都使用HSPICE来生成的,并且它们比PrimeTime更加准确。
图2示出了考虑串扰的定时分析示例。在本发明的具体实施例中,如果还没有提供关键路径集合P的话,则关键路径生成10生成关键路径集合P。P上的所有网络与它们的方向(即上升或下降)和到达时间一起构成受害者网集合V。对于至少一些设计,关键路径生成10使用PrimeTime命令表(script)来生成该集合。该命令表还在网络中读取寄生以模拟互连。让tpt作为通过PrimeTime计算的路径延迟。任何适合的静态定时分析都可用于替代PrimeTime。在本发明的具体实施例中,攻击者网生成12针对V中的各个网络n计算潜在的攻击者网A(n)。这些A(n)是物理地接近n的网络。若给定n,则攻击者网生成12如下计算A(n)。首先,根据布局,确定n的所有网络分段NS(n)及它们的端点坐标。接下来,提取整个设计中的如下分段:这些分段处于用户定义的距NS(n)中的某一分段的最大距离(根据网格)之内。这些分段所具有的网络确定了对于n的可能的攻击者网A(n)的集合。在本发明的具体实施例中,用户对网络分段与NS(n)中的分段一定相平行地延伸的最小距离进行指定,以使得该网络分段够资格成为攻击者网。使得n∪A(n)=S(n),并将其称为受害者-攻击者集合。
在本发明的具体实施例中,寄生提取14针对属于V的各个n生成用于受害者-攻击者集合S(n)的寄生。该寄生形成RC网络,其包括分布式的网络电阻、接地的电容、和在S(n)中的网络之间的耦合电容。图1示出了受害者v和单个攻击者a的这种网络的示例。在本发明的具体实施例中,定时窗口生成16针对∪n∈VS(n)中的各个网络m计算定时窗口。为了降低悲观性和错误定时扰乱,定时窗口优选地明确地列出了通过m的所有子路径的延迟,即从这些路径的各自的起点到m的延迟。然而,用于生成这种信息的工具是不可获得的。在本发明的具体实施例中,定时窗口生成16代替地使用仅仅计算最长和最短路径的PrimeTime。因此,定时窗口包括最小/最大和上升/下降到达时间和瞬变时间。这些定时窗口用于生成在随后的SPICE仿真中使用的攻击者网的波形。在本发明的具体实施例中,路径延迟重计算18在考虑耦合和攻击者信息的情况下,对属于P的各个关键路径p的延迟进行重新计算。图3示出了路径p的示例。路径p是单元和网络的交替,并伴随有各个点处的转变方向(上升或下降)。路径延迟重计算18从起点开始对路径p遍历,并对从输入引脚I(ci)到输出引脚O(ci)的各个单元ci的延迟、以及根在O(ci)处的网络ni的延迟进行重新计算。路径延迟重计算18然后对ni的下沉点I(ci+1)处的到达时间和瞬变时间进行更新,之后将使用这些时间来计算阶段i+1的延迟。该处理一直继续直到获知p的终点处的新的到达时间为止。
在存在完整寄生RC网络(包括网络S(ni)中的耦合电容)和攻击者网A(ni)上的转变的情况下计算单元ci和网络ni的延迟。为了计算属于A(ni)的攻击者α对受害者延迟的最大影响,如果α的定时窗口包含路径p上的ni的实际到达时间,则α应该以与受害者网ni的方向相反的方向进行转变。α的到达时间与ni的一致,并且它的瞬变时间或摆动是在适当方向上的最小摆动。从STA获取该定时信息。注意到,在攻击者上的最小摆动将导致最大的延迟增大。当攻击者网α的定时窗口不包含ni的到达时间时,α就在VDD(如果ni在下降)或GND(如果ni在上升)处保持静态。到达时间、瞬变时间和方向(上升、下降或恒定的VDD/GND)一起构成攻击者波形。
将针对ni和A(ni)网提取的寄生与攻击者波形组合成SPICE模型架构(deck)。在该模型架构中仅缺少的信息是单元ci侧输入的值。ci侧输入是ci的除I(ci)之外的所有输入。注意到I(ci)的波形是已知的,该波形是基于根据前一级i-1所计算的新到达时间和瞬变时间面计算的。为了测量通过ci的最差的延迟,在ci的侧输入应该指定所有的可能值。在本发明的具体实施例中,对于各个情况利用HDPICE来测量延迟,这些延迟值中的最大值产生通过ci的最差的引脚对引脚延迟。这是用于延迟计算的普通方法。利用与ci上的灵敏度和输入/输出转变有关的信息明显加快了延迟特征化处理。例如,如果ci是三输入AND门(由于关键输入I(ci)=x1上升,因此输出O(ci)也上升),那么该普通方法要求四个SPICE仿真,与x2和x3处的向量00、01、10、和11相对应。然而,仅当侧输入x2和x3都是1时,在x1处的输入转变才可以传播到输出。所以,仅需要施加并仿真一个输入向量。这是优选的方法。通常,假定单元ci的输出O(ci)实现逻辑函数f(x1,x2,…xm),其中I(ci)=x1在关键路径上。不失一般性地,假定x1进行上升转变而f进行下降转变。本发明的具体实施例计算图案的最小集合,该集合应该被仿真,以针对x1和f上的给定转变对来计算单元ci的从x1到输出f的最差情况的延迟。在x1上升之前,x1=0而f=1。这对应于条件g(x2,x3,…xm)=fx′1,其中fx′1是针对x1=0的f的余因子,其确切地表示在将x1设置为0时f=1的情况下在x2至xm处的那些输入组合。在x1上升后,f下降。f的其它输入不变。流动状态是x1=0而f=1。这对应于条件 h ( x 2 , x 3 , · · · x m ) = f x 1 ′ , 其中f′x1确切地表示在将x1设置为1时f=0的情况下的那些输入组合。因为发生了变化的唯一输入是x1,所以函数 gh ( x 1 , x 3 , · · · x m ) = f x 1 ′ f x 1 ′ 确切地表示在x2至xm处的所有组合,这些组合可能在x1和f在指定方向转变之前和之后。
Figure C20051010860100163
还表示对于x1下降而f上升时的情况的组合集合。当x1和f都上升(或下降)时,希望的函数是
Figure C20051010860100164
作为示例而非限制,考虑上述三输入AND门示例,其中x1上升,因此f上升。f(x1,x2,x3)=x1x2x3。f′(x1,x2,x3)=x′1+x ′2+x′3。根据所希望的函数
Figure C20051010860100171
函数 f x 1 f x 1 ′ = ( x 2 x 3 ) (1)产生所有的x2和x3的组合,在这些组合下上升的x1可以导致上升的f。这隐含x2=x3=1。
在本发明的具体实施例中,库图案生成器20将上述分析应用到所有库单元的各个输入-输出引脚对(和它们的转变方向)、计算上述函数、并生成需要仿真的图案的最小集合。库图案生成器20可以(但不是必需)是基于库预处理器的顺序交互合成(SIS(sequential interactivesynthesis))。本发明的具体实施例结合这些图案,并计算从输入引脚I(ci)到输出引脚O(ci)的通过ci的最差延迟。这些实施例根据I(ci)处的到达时间和单元延迟来计算在O(ci)处的到达时间。对应于该最差情况,这些实施例还使用HSPICE来测量从O(ci)到I(ci+1)的新的网络延迟(其依次确定在I(ci+1)处的新到达时间)和在I(ci+1)处的瞬变时间。这就完成了通过单元ci和网络ni的延迟重计算。对p的所有级重复该处理来计算p的新延迟,tCT,可以将其引入作为考虑了串扰的延迟。
对由于串扰而产生的延迟变化的精确计算,因为PrimeTime和HSPICE可以产生不同的延迟值,所以本发明的具体实施例通过重复上述延迟计算处理来重新计算p的路径延迟,但是无需使用任何攻击者切换。换言之,假设所有的攻击者处于VDD或GND,这就利用接地电容有效地替代了S(ni)中的耦合电容。将由此获得的路径延迟称为SPICE延迟(tsp)。
作为示例而非限制,本发明的具体实施例可用于两种工业设计:D1和D2。两者都使用0.11μ技术并具有1.2V的VDD。表1示出了在这两种设计中的单元和网络的数量。在将这两种设计成功布局并详细路径选择之后来分析这些设计。另外提取布局寄生并将其用于STA工具PrimeTimeVersion 2002.03-SP1。
  Design   #Cells   #Nets
  D<sub>1</sub>D<sub>2</sub>   165K454K   167K460K
1K=1000
两种基准都对应于0.11μ技术。
表1:基准统计
首先,报告了有关D1的结果。初始,PrimeTime报告了D1中的65个关键路径或关键路径附近的路径。在这些路径中,仅有36个是独有的:发现29个是重复的并将它们删除。其效用是使运行时间降低了接近2的因子,因为运行时间与所分析的路径数量近似呈线性关系。在这36个路径上的关键或受害者网的总数是130。攻击者网的总数是309。平均上,每个受害者网存在约2.4个攻击者网。结果证明:有68个受害者网没有邻近的攻击者网。将本发明的具体实施例应用于36个路径的每一个,以计算tsp(没有串扰的HSPICE延迟)和tCT(存在串扰的HSPICE延迟)。结果证明:这些路径中仅由11个具有超过10皮秒的延迟变化,即,Δt=tCT-tS-≥10皮秒。表2提供了针对这11个路径中的每一个的延迟信息。路径7和10具有最大Δt:超过350皮秒。这促使了进一步的研究,研究发现:在路径7上存在具有四个攻击者网的网络ni1。ni1与攻击者网中的两个具有950μ的重叠长度,并与其它两个具有180至255μ的重叠长度。在路径10上,存在具有很大重叠的两个网络:400μ至650μ。将这些路径、它们的tsp和tCT延迟、以及与攻击者网的重叠长度报告给设计者,设计者验证是耦合使得这些路径变得更长,并将相关的受害者网和攻击者网彼此远离以降低延迟的增大。
Figure C20051010860100181
PH中的所有延迟
表2:通过PrimeTime、HSPICE的关键路径延迟,
以及对D1的考虑串扰的分析
对于第二种设计D2,PrimeTime报告了60个独有的关键路径。在这些路径上总共有450个受害者网。它们具有总共247个攻击者网。结果证明:有336个关键网没有任何攻击者。本发明的具体实施例发现四个路径具有超过10皮秒的延迟增大。在表3中列出了这些路径。D2经历来自串扰的影响比D1小的主要原因在于,在D2中每个受害者网的攻击者的平均数量是0.55,而在D1中是2.4。这是因为为了防止串扰,设计者已对D2进行了优化。该版本的设计是在将具有严重耦合的网络分段之间的间隔增大之后获得的。两个网络分段之间的任何小于20μ的重叠往往不能导致大的耦合电容。
  路径   t<sub>PT</sub>   t<sub>SP</sub>   t<sub>CT</sub>   Δt=t<sub>CT</sub>-t<sub>SP</sub>
  1234   1878.271791.091947.891834.71   1821.261758.721893.511798.49   1833.891776.791911.731816.67   12.6318.0718.2218.18
P中的所有延迟
表3:通过PrimeTime、HSPICE的关键路径延迟以及对D2的考虑串扰的分析
作为示例而非限制,考虑有关如下内容的实验:串扰门延迟的影响和门延迟变化对路径延迟劣化Δt的相对影响。对于芯片D1,对于在表2中所报告的各个路径,计算出由于串扰而引起的门延迟变化的总和。在表2中的Δg栏将其列出。在
Figure C20051010860100191
栏中示出了百分比小数。例如,对于路径1,串扰导致了41.02皮秒的延迟增大,其中有34.29皮秒是由门延迟增大而产生的。仅有6.73皮秒增大是互连导致的。对于几乎所有的路径,门延迟变化对Δt的作用超过83%,这指出串扰对门延迟影响严重。因此,不仅对互连延迟,而且对门延迟的精确模拟和计算是重要的。
关于本发明具体实施例的精确度,从表2注意到,路径p的PrimeTime延迟tPT与tSP平均相差72.5皮秒。对于所有这些路径,PrimeTime延迟值更大。这一显著的差异可能由如下三个因素所导致:(1)PrimeTime降低了输出引脚处的到单个Ceff的互连,以计算单元延迟;(2)PrimeTime使用基于查找表的方案来计算单元延迟;以及(3)PrimeTime不像HSPICE那样精确地计算通过互连的延迟。存在几种由PrimeTime计算的互连延迟与HSPICE计算的互连延迟相差大于10%的情况。通常PrimeTime计算的内部互连延迟更小,这就证明在本发明的具体实施例中使用HSPICE是适当的。
以下数据强调了基于网络对串扰的分析的不准确性仅次于基于路径对串扰的分析。在基于网络的分析中,网络的最大到达时间被用于导出攻击者的波形。在设计D1中,存在具有最大到达时间为tm=4694皮秒的关键网络n。基于网络的分析导致攻击者在tm处切换。在该情况下,仅有一个攻击者网的定时窗口包含tm。然而,n在两个关键路径上,当在这些路径之一上一表2中的路进7上时,n的到达时间是t=3520皮秒。利用基于网络分析未正确地分析路径7,因为攻击者的切换时间被强制为tm,这与正确值t有很大差别。基于网络的分析计算出路径7的延迟在tSP上变化了小于15皮秒。然而,在本发明的具体实施例中的基于路径的分析中,将攻击者网的切换时间设置为t而不是tm。网络n有两个攻击者,它们具有包含有t的定时窗口。通过将这两个攻击者的切换时间设置为t并进行分析,路径7的延迟在tSP上增加了超过350皮秒,如表2中所示。路径10提供了类似的情况。该示例示出了在基于网络的串扰延迟分析中所固有的不准确性(根据应该切换的攻击者和它们的切换时间来模拟最差情况的情景,以及区分在单个网络上的不同信号的到达时间的无能力),并加强了基于路径分析的情况。
作为示例而不是进行限制,考虑将存在串扰的情况下用于单元延迟特征化的普通和智能方法进行比较。如上所述,普通方法将所有可能的输入转变施加到单元的侧输入,而智能方法仅采用了所需向量的最小集合。在D1上,使用智能技术,将用于36个路径的HSPICE仿真的总数从普通方法的484减少到327:减少了32%。特征化的总运行时间从173分钟降到109分钟,提速1.59倍。这强调了用于延迟计算的智能方法的效率。
本发明的具体实施例包括用于测量串扰对设计中的关键路径的影响的分析工具。考虑串扰的延迟信息可由设计者用于改进设计以减小或甚至防止串扰。与其它方法相比,本发明的具体实施例提供了高的延迟计算精确度。具体实施例避免了使用单元和网络的近似模型以及互连缩减。具体实施例采用基于路径的方法,对关键网络和它们的攻击者网使用了细致且精确的分布式RC寄生,使用BSIM3精确门模型,并调用HSPICE以仅使用输入图案的最小完整集合来进行延迟计算。
如上所述,将本发明的具体实施例应用到两个实际设计表明了:因为归功于在前的串扰优化而在另一个设计D2中的大量关键网络分段不具有邻近网络,所以串扰对一个设计D1的影响要大得多。如上进一步所述,串扰趋于严重影响门延迟,这就强调了精确模拟门延迟的重要性。
如上所述,前面的基于网络的串扰估计工作一般是悲观的,并且不符合严格的精确度要求。另一方面,基于穷举路径的方法尽管精确,但由于设计中的路径的呈指数增加而不实用。混合两步方法是解决该问题的可行方法。第一步对将被转到第二步骤的路径的数目进行删除。在第一步,可以将悲观的基于网络的串扰分析用于报告可能违反定时要求的实际路径的超集,或者,如本发明其它实施例那样,可以仅选择最关键的和接近关键路径的路径。然后,第二步骤对第一步骤中选择的各个路径分析串扰影响,并按如上所述的方式确定真正的扰乱。
为了单元延迟特征化,本发明的具体实施例充分使用了提取工具StarXtract(对每个受害者网都调用一次)和HSPICE。尽管智能图案生成加速了延迟特征化,但是延迟计算和提取往往在流程中造成瓶颈。本发明的具体实施例对分析多达大约150个路径是有用的。除此之外,根据所选路径上的网络总数量,运行时间可能变大。本发明的具体实施例可以使用更快的提取和电路仿真技术。另一解决方案是并行计算。可以在不同的级别进行并行化。可以并行分析不同的多个路径。另外或作为另选,可以并行地进行对各个受害者网及其相关的攻击者网的提取。另外,在延迟重计算期间,用于单个级的多个多次HSPICE调用可以并行地进行。
本发明的具体实施例假设:为了获取对受害者延迟的最大影响,如果攻击者的定时窗口包含有受害者到达时间,则可以使攻击者到达时间与受害者网到达时间一致。然而,这有时是不可能的,因为由PrimeTime计算的定时窗口包含仅与门上的最大和最小到达时间有关的信息。因此,存储更多的详细信息可以帮助缓解该问题。
在本发明的具体实施例中,对于HSPICE仿真,根据由PrimeTime报告的受害者网(对于被考虑的路径)的到达时间来导出攻击者到达时间。这是因为一般预先不知道在存在攻击者时的实际的受害者的到达时间。表2示出了在PrimeTime和HSPICE数之间的差异。下面可以解决该问题。如果在存在耦合时由PrimeTime报告的受害者到达时间与HSPICE所计算的不同,即相差超过5皮秒,则新到达时间可用于生成攻击者波形,并可以重复延迟特征化。如果收敛慢则该固定是高成本的,在该情况下对迭代的最大数量进行限制可能是有用的。
如果存在使攻击者在特定时间以与受害者相反的方向进行转变的输入向量对,则本发明的具体实施例不进行检查。这些实施例假定存在这种向量对。尽管这种检查可以通过使用自动测试图案生成(ATPG)或可满足性检查(STA)来进行,但是信号到达时间、瞬变时间和门延迟时间应该被结合。本发明的具体实施例忽略了由于攻击者的网络中的传递扇(transitive fan)处的耦合而引起的攻击者网的定时窗口中的任何变化。
图4示出了一种用于考虑串扰的定时分析的方法示例。该方法在步骤100开始,在该步骤中串扰分析工具访问电路设计。在步骤102,串扰分析工具识别该设计中的一个和多个关键路径。在步骤104,串扰分析工具识别与各个受害者互连相关联的一个或多个潜在的攻击者互连。在步骤106,串扰分析工具为各个受害者互连提取与该受害者互连相关联的一个或多个寄生、以及与该受害者互连相关联的一个或多个潜在的攻击者互连。在步骤108,串扰分析工具计算这些潜在攻击者互连的定时窗口。在步骤110,串扰分析工具计算各个关键路径上的各个单元和各个受害者互连的第一定时。在步骤112,串扰分析工具根据关键路径中的第一关键路径上的各个单元和各个受害者互连的第一定时、潜在攻击者互连的定时窗口、以及与潜在攻击者互连相关联的第一关键路径上的受害者互连的寄生,来生成潜在攻击者互连的定时波形。在步骤114,串扰分析工具从第一关键路径的起点至第一关键路径的终点遍历第一关键路径。在步骤116,串扰分析工具根据对第一关键路径的遍历,利用潜在攻击者互连的定时波形、与该潜在攻击者互连相关联的第一关键路径上的受害者互连的寄生、以及第一关键路径上的任一紧邻在前的单元的任一第二定时,来计算第一关键路径上的各个单元和各个受害者互连的第二定时。
在步骤118,串扰分析工具对用于分析的第一关键路径上的各个单元和各个受害者互连的第二定时进行传递。在步骤120,如果串扰分析工具已经分析了在步骤102识别的所有关键路径,则该方法结束。在步骤120,如果串扰分析工具还没有分析完在步骤102识别的所有关键路径,则该方法进行到步骤122。在步骤122,串扰分析工具根据关键路径中的下一关键路径上的各个单元和各个受害者互连的第一定时、潜在攻击者互连的定时窗口、以及与潜在攻击者互连相关联的该下一关键路径上的受害者互连的寄生,来生成潜在攻击者互连的定时波形。在步骤124,串扰分析工具从该下一关键路径的起点至该下一关键路径的终点地遍历该下一关键路径。在步骤126,串扰分析工具根据对该下一关键路径的遍历,使用潜在攻击者互连的定时波形、与该潜在攻击者互连相关联的该下一关键路径上的受害者互连的寄生、以及该下一关键路径上的任一紧邻在前的单元的任一第二定时,来计算该下一关键路径上的各个单元和各个受害者互连的第二定时。在步骤128,串扰分析工具对用于分析的下一关键路径上的各个单元和各个受害者互连的第二定时进行传递,并且该方法返回步骤120。
尽管已将图4所示的方法的具体步骤按照发生的具体顺序作了例示和说明,但是本发明还考虑图4所示的方法按任何适当的顺序发生时的任何适当的步骤。作为示例而不进行限制,图4所示的方法的两个或更多个步骤可以并行进行而不是顺序发生。
使用了具体实施例来说明本发明,并且本领域的普通技术人员可以理解用于说明本发明的具体实施例的一个或多个变化、替换、变型、改变或改进。本发明包含在所附权利要求范围内的所有这些变化、替换、变型、改变或改进。
根据35U.S.C§119(e),本申请要求在2004年10月8日提交的美国临时申请No.60/617,283的优先权。

Claims (39)

1、一种用于考虑串扰的定时分析的系统,该系统包括:
关键路径生成器,其能够操作以访问电路设计,并识别所述设计中的一个或多个关键路径,每个关键路径包括一个或多个受害者互连和一个或多个单元;
攻击者网生成器,其能够操作以识别与各个受害者互连相关联的一个或多个潜在攻击者互连;
寄生提取器,其能够操作以对于各个受害者互连,提取受害者互连的一个或多个寄生以及与所述受害者互连相关联的所述一个或多个潜在攻击者互连;
定时窗口生成器,其能够操作以执行以下步骤:
计算所述潜在攻击者互连的定时窗口;
计算各个所述关键路径上的各个所述单元和各个所述受害者互连的第一定时;
并且,所述定时窗口生成器能够操作以进一步对于各个所述关键路径执行以下步骤:
根据所述关键路径上的各个所述单元和各个所述受害者互连的第一定时、所述潜在攻击者互连的所述定时窗口、以及与所述潜在攻击者互连相关联的所述关键路径上的所述受害者互连的所述寄生,来生成所述潜在攻击者互连的定时波形;
从所述关键路径上的起点至所述关键路径上的终点遍历所述关键路径;
根据对所述关键路径的遍历,利用所述潜在攻击者互连的所述定时波形、与所述潜在攻击者互连相关联的所述关键路径上的受害者互连的所述寄生、以及所述关键路径上的任一紧邻在前的单元的任一第二定时,来计算所述关键路径上的各个单元和各个受害者互连的第二定时。
2、根据权利要求1所述的系统,其中对所述潜在攻击者互连的定时窗口的计算、对所述关键路径上的各个所述单元和各个所述受害者互连的计算,或者上述两种计算都考虑了串扰。
3、根据权利要求1所述的系统,其中对所述潜在攻击者互连的定时窗口的计算、对所述关键路径上的各个所述单元和各个所述受害者互连的计算,或者上述两种计算都不考虑串扰。
4、根据权利要求1所述的系统,其中各个潜在攻击者互连的每一个的定时窗口包括最小的和最大的上升到达时间、下降到达时间和瞬变时间。
5、根据权利要求1所述的系统,其中所述关键路径上的各个所述单元和各个所述受害者互连的第一定时和第二定时包括上升到达时间、下降到达时间和瞬变时间中的一个或多个。
6、根据权利要求5所述的系统,该系统还包括路径延迟重计算单元,该路径延迟重计算单元能够操作以根据通过所述关键路径上的所有单元和所有受害者互连的所有延迟的总和来计算所述关键路径上的一单元或一受害者互连的上升到达时间或下降到达时间,所述所有单元和所有受害者互连是在所述关键路径的起点与所述关键路径上的正由所述串扰分析模块计算其上升到达时间或下降到达时间的所述单元或所述受害者互连之间的关键路径上。
7、根据权利要求1所述的系统,其中,所述定时窗口生成器能够进一步执行如下操作:并行地处理所述关键路径中的两个或更多个中的每一个,以生成所述潜在攻击者互连的定时波形;遍历所述关键路径;以及计算所述关键路径上的各个所述单元和各个所述受害者互连的第二定时。
8、根据权利要求1所述的系统,其中所述寄生提取器能够进一步操作以并行地提取两个和更多个受害者互连的寄生。
9、根据权利要求1所述的系统,其中所述定时窗口生成器能够进一步操作以并行地运行所述单元的多个仿真,来计算所述关键路径上的一单元的第二定时。
10、根据权利要求1所述的系统,其中所述关键路径生成器能够进一步操作以在不考虑串扰、不精确模拟所述设计、或在既不考虑串扰也不精确模拟所述设计的情况下,识别所述关键路径。
11、根据权利要求1所述的系统,其中所述攻击者网生成器能够进一步操作以根据在所述设计中的将互连相互分离的距离来识别所述潜在攻击者互连。
12、根据权利要求1所述的系统,其中所述起点是所述关键路径上的输入引脚,而所述终点是所述关键路径上的输出引脚。
13、根据权利要求1所述的系统,其中所述一个或多个寄生中的每一个包括一个或多个分布式耦合电容、一个或多个自电容、和一个或多个电阻中的一个或多个。
14、一种用于考虑串扰的定时分析方法,该方法包括:
访问电路设计;
识别所述设计中的一个或多个关键路径,每个所述关键路径包括一个或多个受害者互连和一个或多个单元;
识别与各个所述受害者互连相关联的一个或多个潜在攻击者互连;
对于各个所述受害者互连,提取受害者网中的一个或多个寄生以及与所述受害者互连相关联的所述一个或多个潜在攻击者互连;
计算所述潜在攻击者互连的定时窗口;
计算各个关键路径上的各个单元和各个受害者互连的第一定时;
对于各个关键路径:
根据所述关键路径上的各个单元和各个受害者互连的第一定时、所述潜在攻击者互连的所述定时窗口、以及与所述潜在攻击者互连相关联的所述关键路径上的所述受害者互连的所述寄生,来生成所述潜在攻击者互连的一个或者多个定时波形;
从所述关键路径上的起点至所述关键路径上的终点地遍历所述关键路径;
根据对所述关键路径的遍历,利用所述潜在攻击者互连的所述定时波形、与所述潜在攻击者互连相关联的所述关键路径上的受害者互连的所述寄生、以及所述关键路径上的任一紧邻在先的单元的任一第二定时,来计算所述关键路径上的各个单元和各个受害者互连的第二定时。
15、根据权利要求14所述的方法,其中对所述潜在攻击者互连的定时窗口的计算、对所述关键路径上的各个所述单元和各个所述受害者互连的第一定时的计算、或者上述两种计算都考虑了串扰。
16、根据权利要求14所述的方法,其中对所述潜在攻击者互连的定时窗口的计算、对所述关键路径上的各个所述单元和各个所述受害者互连的第一定时的计算、或者上述两种计算都不考虑串扰。
17、根据权利要求14所述的方法,其中各个所述潜在攻击者互连的各个定时窗口包括最小的和最大的上升到达时间、下降到达时间和瞬变时间。
18、根据权利要求14所述的方法,其中所述关键路径上的各个所述单元和各个所述受害者互连的第一定时和第二定时包括上升到达时间、下降到达时间和瞬变时间的一个或多个。
19、根据权利要求18所述的方法,还包括根据通过所述关键路径上的所有单元和所有受害者互连的所有延迟的总和,来计算所述关键路径上的一单元或一受害者互连的上升到达时间或下降到达时间,所述所有单元和所有受害者互连是在所述关键路径的起点与所述关键路径上的正由所述串扰分析模块计算其上升到达时间或下降到达时间的所述单元或所述受害者互连之间的关键路径上。
20、根据权利要求14所述的方法,还包括并行地处理所述关键路径中的两个或更多个中的每一个,以生成所述潜在攻击者互连的定时波形;遍历所述关键路径;并计算所述关键路径上的各个所述单元和各个所述受害者互连的第二定时。
21、根据权利要求14所述的方法,还包括并行地提取两个或更多个受害者互连的寄生。
22、根据权利要求14所述的方法,还包括:为了计算关键路径上的一单元的第二定时,并行地运行所述单元的多个仿真。
23、根据权利要求14所述的方法,还包括:在不考虑串扰、不精确模拟所述设计、或既不考虑串扰也不精确模拟的情况下,识别所述关键路径。
24、根据权利要求14所述的方法,还包括:根据将所述设计中的将互连相互分离的距离来识别所述潜在攻击者互连。
25、根据权利要求14所述的方法,其中所述起点是所述关键路径上的输入引脚,所述终点是所述关键路径上的输出引脚。
26、根据权利要求14所述的方法,其中所述一个或多个寄生中的每一个包括一个或多个分布式耦合电容、一个或多个自电容、和一个或多个电阻中的一个或多个。
27、用于考虑串扰的定时分析的系统,该系统包括:
用于访问电路设计的装置;
用于识别所述设计中的一个或多个关键路径的装置,每个所述关键路径包括一个或多个受害者互连和一个或多个单元;
用于识别与各个所述受害者互连相关联的一个或多个潜在攻击者互连的装置;
用于对于各个所述受害者互连,提取受害者网的一个或多个寄生以及与所述受害者互连相关联的所述一个或多个潜在攻击者互连的装置;
用于计算所述潜在攻击者互连的定时窗口的装置;
用于计算各个所述关键路径上的各个所述单元和各个所述受害者互连的第一定时的装置;以及
对于各个所述关键路径,包括:
用于根据所述关键路径上的各个所述单元和各个所述受害者互连的第一定时、所述潜在攻击者互连的所述定时窗口、以及与所述潜在攻击者互连相关联的所述关键路径上的所述受害者互连的所述寄生,来生成所述潜在攻击者互连的一个或多个定时波形的装置;
用于从所述关键路径上的起点至所述关键路径上的终点遍历所述关键路径的装置;以及
用于根据对所述关键路径的遍历,利用所述潜在攻击者互连的所述定时波形、与所述潜在攻击者互连相关联的所述关键路径上的受害者互连的所述寄生、以及所述关键路径上的任一紧邻在前的单元的任一第二定时,来计算所述关键路径上的各个所述单元和各个所述受害者互连的第二定时的装置。
28、根据权利要求27所述的系统,其中对所述潜在攻击者互连的定时窗口的计算、对所述关键路径上的各个所述单元和各个所述受害者互连的计算、或者上述两种计算都考虑了串扰。
29、根据权利要求27所述的系统,其中对所述潜在攻击者互连的定时窗口的计算,对所述关键路径上的各个所述单元和各个所述受害者互连的计算,或者上述两种计算都不考虑串扰。
30、根据权利要求27所述的系统,其中各个所述潜在攻击者互连的各个定时窗口包括最小的和最大的上升到达时间、下降到达时间和瞬变时间。
31、根据权利要求27所述的系统,其中所述关键路径上的各个所述单元和各个所述受害者互连的第一定时和第二定时包括上升到达时间、下降到达时间和瞬变时间中的一个或多个。
32、根据权利要求31所述的系统,该系统进一步包括用于根据通过所述关键路径上的所有单元和所有受害者互连的所有延迟的总和来计算所述关键路径上的一单元或一受害者互连的上升到达时间或下降到达时间的装置,所述所有单元和所有受害者互连是在所述关键路径的起点与所述关键路径上的正由所述串扰分析模块计算其上升到达时间或下降到达时间的所述单元和所述受害者互连之间的关键路径上。
33、根据权利要求27所述的系统,该系统进一步包括:用于并行地处理所述关键路径中的两个或更多个中的每一个以生成所述潜在攻击者互连的定时波形的装置;用于遍历所述关键路径的装置;以及用于计算所述关键路径上的各个所有延迟单元和各个所有延迟受害者互连的第二定时的装置。
34、根据权利要求27所述的系统,该系统进一步包括用于并行地提取两个和更多个受害者互连的寄生的装置。
35、根据权利要求27所述的系统,该系统进一步包括用于并行地运行所述单元的多个仿真来计算关键路径上的一单元的第二定时的装置。
36、根据权利要求27所述的系统,该系统进一步包括用于在不考虑串扰、不精确模拟所述设计、或者既不考虑串扰也不精确模拟所述设计的情况下识别所述关键路径的装置。
37、根据权利要求27所述的系统,该系统进一步包括用于根据将所述设计中将互连相互分离开的距离来识别所述潜在攻击者互连的装置。
38、根据权利要求27所述的系统,其中所述起点是所述关键路径上的输入引脚,所述终点是所述关键路径上的输出引脚。
39、根据权利要求27所述的系统,其中所述一个或多个寄生中的每一个包括一个或多个分布式耦合电容、一个或多个自电容、和一个或多个电阻中的一个或多个。
CNB2005101086015A 2004-10-08 2005-10-08 考虑串扰的定时分析 Expired - Fee Related CN100446010C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US61728304P 2004-10-08 2004-10-08
US60/617,283 2004-10-08
US11/178,111 2005-07-08

Publications (2)

Publication Number Publication Date
CN1783096A CN1783096A (zh) 2006-06-07
CN100446010C true CN100446010C (zh) 2008-12-24

Family

ID=36773269

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101086015A Expired - Fee Related CN100446010C (zh) 2004-10-08 2005-10-08 考虑串扰的定时分析

Country Status (3)

Country Link
US (1) US7383522B2 (zh)
JP (1) JP4634269B2 (zh)
CN (1) CN100446010C (zh)

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6658091B1 (en) 2002-02-01 2003-12-02 @Security Broadband Corp. LIfestyle multimedia security system
US10375253B2 (en) 2008-08-25 2019-08-06 Icontrol Networks, Inc. Security system with networked touchscreen and gateway
US10313303B2 (en) 2007-06-12 2019-06-04 Icontrol Networks, Inc. Forming a security network including integrated security system components and network devices
WO2005091218A2 (en) 2004-03-16 2005-09-29 Icontrol Networks, Inc Premises management system
US11159484B2 (en) 2004-03-16 2021-10-26 Icontrol Networks, Inc. Forming a security network including integrated security system components and network devices
US11916870B2 (en) 2004-03-16 2024-02-27 Icontrol Networks, Inc. Gateway registry methods and systems
US11244545B2 (en) 2004-03-16 2022-02-08 Icontrol Networks, Inc. Cross-client sensor user interface in an integrated security network
US11489812B2 (en) 2004-03-16 2022-11-01 Icontrol Networks, Inc. Forming a security network including integrated security system components and network devices
US10156959B2 (en) 2005-03-16 2018-12-18 Icontrol Networks, Inc. Cross-client sensor user interface in an integrated security network
US10237237B2 (en) 2007-06-12 2019-03-19 Icontrol Networks, Inc. Communication protocols in integrated systems
US7711796B2 (en) 2006-06-12 2010-05-04 Icontrol Networks, Inc. Gateway registry methods and systems
US11368429B2 (en) 2004-03-16 2022-06-21 Icontrol Networks, Inc. Premises management configuration and control
US11811845B2 (en) 2004-03-16 2023-11-07 Icontrol Networks, Inc. Communication protocols over internet protocol (IP) networks
US11113950B2 (en) 2005-03-16 2021-09-07 Icontrol Networks, Inc. Gateway integrated with premises security system
US8963713B2 (en) 2005-03-16 2015-02-24 Icontrol Networks, Inc. Integrated security network with security alarm signaling system
US10522026B2 (en) 2008-08-11 2019-12-31 Icontrol Networks, Inc. Automation system user interface with three-dimensional display
US10721087B2 (en) 2005-03-16 2020-07-21 Icontrol Networks, Inc. Method for networked touchscreen with integrated interfaces
US10142392B2 (en) 2007-01-24 2018-11-27 Icontrol Networks, Inc. Methods and systems for improved system performance
US11316958B2 (en) 2008-08-11 2022-04-26 Icontrol Networks, Inc. Virtual device systems and methods
US20160065414A1 (en) 2013-06-27 2016-03-03 Ken Sundermeyer Control system user interface
US11368327B2 (en) 2008-08-11 2022-06-21 Icontrol Networks, Inc. Integrated cloud system for premises automation
US8988221B2 (en) 2005-03-16 2015-03-24 Icontrol Networks, Inc. Integrated security system with parallel processing architecture
US9609003B1 (en) 2007-06-12 2017-03-28 Icontrol Networks, Inc. Generating risk profile using data of home monitoring and security system
US8635350B2 (en) 2006-06-12 2014-01-21 Icontrol Networks, Inc. IP device discovery systems and methods
US10127802B2 (en) 2010-09-28 2018-11-13 Icontrol Networks, Inc. Integrated security system with parallel processing architecture
US11677577B2 (en) 2004-03-16 2023-06-13 Icontrol Networks, Inc. Premises system management using status signal
US20090077623A1 (en) 2005-03-16 2009-03-19 Marc Baum Security Network Integrating Security System and Network Devices
US9191228B2 (en) 2005-03-16 2015-11-17 Icontrol Networks, Inc. Cross-client sensor user interface in an integrated security network
US11582065B2 (en) 2007-06-12 2023-02-14 Icontrol Networks, Inc. Systems and methods for device communication
US11343380B2 (en) 2004-03-16 2022-05-24 Icontrol Networks, Inc. Premises system automation
US10444964B2 (en) 2007-06-12 2019-10-15 Icontrol Networks, Inc. Control system user interface
US11201755B2 (en) 2004-03-16 2021-12-14 Icontrol Networks, Inc. Premises system management using status signal
US9531593B2 (en) 2007-06-12 2016-12-27 Icontrol Networks, Inc. Takeover processes in security network integrated with premise security system
US10200504B2 (en) 2007-06-12 2019-02-05 Icontrol Networks, Inc. Communication protocols over internet protocol (IP) networks
US9729342B2 (en) 2010-12-20 2017-08-08 Icontrol Networks, Inc. Defining and implementing sensor triggered response rules
US9141276B2 (en) 2005-03-16 2015-09-22 Icontrol Networks, Inc. Integrated interface for mobile device
US11277465B2 (en) 2004-03-16 2022-03-15 Icontrol Networks, Inc. Generating risk profile using data of home monitoring and security system
US10339791B2 (en) 2007-06-12 2019-07-02 Icontrol Networks, Inc. Security network integrated with premise security system
US10382452B1 (en) 2007-06-12 2019-08-13 Icontrol Networks, Inc. Communication protocols in integrated systems
JP4284235B2 (ja) * 2004-06-07 2009-06-24 富士通株式会社 配線選択方法及び装置、配線選択プログラム及び配線選択プログラムを記録したコンピュータ読取可能な記録媒体、並びに、遅延改善方法
US11700142B2 (en) 2005-03-16 2023-07-11 Icontrol Networks, Inc. Security network integrating security system and network devices
US20120324566A1 (en) 2005-03-16 2012-12-20 Marc Baum Takeover Processes In Security Network Integrated With Premise Security System
US11496568B2 (en) 2005-03-16 2022-11-08 Icontrol Networks, Inc. Security system with networked touchscreen
US10999254B2 (en) 2005-03-16 2021-05-04 Icontrol Networks, Inc. System for data routing in networks
US20170180198A1 (en) 2008-08-11 2017-06-22 Marc Baum Forming a security network including integrated security system components
US9306809B2 (en) 2007-06-12 2016-04-05 Icontrol Networks, Inc. Security system with networked touchscreen
US20110128378A1 (en) 2005-03-16 2011-06-02 Reza Raji Modular Electronic Display Platform
US11615697B2 (en) 2005-03-16 2023-03-28 Icontrol Networks, Inc. Premise management systems and methods
JP4521309B2 (ja) * 2005-04-07 2010-08-11 東芝マイクロエレクトロニクス株式会社 半導体集積回路の解析装置及び解析方法
US8595669B1 (en) * 2007-08-31 2013-11-26 Cadence Design Systems, Inc. Flexible noise and delay modeling of circuit stages for static timing analysis of integrated circuit designs
US8543954B1 (en) * 2007-08-31 2013-09-24 Cadence Design Systems, Inc. Concurrent noise and delay modeling of circuit stages for static timing analysis of integrated circuit designs
US10079839B1 (en) 2007-06-12 2018-09-18 Icontrol Networks, Inc. Activation of gateway device
FR2902910B1 (fr) * 2006-06-26 2008-10-10 Coupling Wave Solutions Cws Sa Procede de modelisation du bruit injecte dans un systeme electronique
KR100831271B1 (ko) * 2006-08-16 2008-05-22 동부일렉트로닉스 주식회사 물리적 레이어의 프로그램적 생성을 통한 물리적 레이아웃 데이터를 변경하는 방법
US7454731B2 (en) * 2006-09-22 2008-11-18 Synopsys, Inc. Generation of engineering change order (ECO) constraints for use in selecting ECO repair techniques
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US11706279B2 (en) 2007-01-24 2023-07-18 Icontrol Networks, Inc. Methods and systems for data communication
US7633385B2 (en) 2007-02-28 2009-12-15 Ucontrol, Inc. Method and system for communicating with and controlling an alarm system from a remote server
JP2008242688A (ja) * 2007-03-27 2008-10-09 Kochi Univ クロストーク検証装置およびクロストーク回避設計装置
US8451986B2 (en) 2007-04-23 2013-05-28 Icontrol Networks, Inc. Method and system for automatically providing alternate network access for telecommunications
US11237714B2 (en) 2007-06-12 2022-02-01 Control Networks, Inc. Control system user interface
US10616075B2 (en) 2007-06-12 2020-04-07 Icontrol Networks, Inc. Communication protocols in integrated systems
US10389736B2 (en) 2007-06-12 2019-08-20 Icontrol Networks, Inc. Communication protocols in integrated systems
US10523689B2 (en) 2007-06-12 2019-12-31 Icontrol Networks, Inc. Communication protocols over internet protocol (IP) networks
US11646907B2 (en) 2007-06-12 2023-05-09 Icontrol Networks, Inc. Communication protocols in integrated systems
US11601810B2 (en) 2007-06-12 2023-03-07 Icontrol Networks, Inc. Communication protocols in integrated systems
US11218878B2 (en) 2007-06-12 2022-01-04 Icontrol Networks, Inc. Communication protocols in integrated systems
US10498830B2 (en) 2007-06-12 2019-12-03 Icontrol Networks, Inc. Wi-Fi-to-serial encapsulation in systems
US10666523B2 (en) 2007-06-12 2020-05-26 Icontrol Networks, Inc. Communication protocols in integrated systems
US11316753B2 (en) 2007-06-12 2022-04-26 Icontrol Networks, Inc. Communication protocols in integrated systems
US11089122B2 (en) 2007-06-12 2021-08-10 Icontrol Networks, Inc. Controlling data routing among networks
US10423309B2 (en) 2007-06-12 2019-09-24 Icontrol Networks, Inc. Device integration framework
US10051078B2 (en) 2007-06-12 2018-08-14 Icontrol Networks, Inc. WiFi-to-serial encapsulation in systems
US11423756B2 (en) 2007-06-12 2022-08-23 Icontrol Networks, Inc. Communication protocols in integrated systems
US11212192B2 (en) 2007-06-12 2021-12-28 Icontrol Networks, Inc. Communication protocols in integrated systems
US11831462B2 (en) 2007-08-24 2023-11-28 Icontrol Networks, Inc. Controlling data routing in premises management systems
US7685549B2 (en) * 2007-09-14 2010-03-23 International Business Machines Corporation Method of constrained aggressor set selection for crosstalk induced noise
US11916928B2 (en) 2008-01-24 2024-02-27 Icontrol Networks, Inc. Communication protocols over internet protocol (IP) networks
US20170185278A1 (en) 2008-08-11 2017-06-29 Icontrol Networks, Inc. Automation system user interface
US11729255B2 (en) 2008-08-11 2023-08-15 Icontrol Networks, Inc. Integrated cloud system with lightweight gateway for premises automation
US11258625B2 (en) 2008-08-11 2022-02-22 Icontrol Networks, Inc. Mobile premises automation platform
US10530839B2 (en) 2008-08-11 2020-01-07 Icontrol Networks, Inc. Integrated cloud system with lightweight gateway for premises automation
US11758026B2 (en) 2008-08-11 2023-09-12 Icontrol Networks, Inc. Virtual device systems and methods
US11792036B2 (en) 2008-08-11 2023-10-17 Icontrol Networks, Inc. Mobile premises automation platform
US9098661B1 (en) * 2008-12-10 2015-08-04 The Mathworks, Inc. Extensible platform for back-annotation of target-specific characterization onto a model of a hardware system
US8468487B1 (en) * 2009-04-22 2013-06-18 Altera Corporation Method and apparatus for implementing cross-talk based booster wires in a system on a field programmable gate array
US8638211B2 (en) 2009-04-30 2014-01-28 Icontrol Networks, Inc. Configurable controller and interface for home SMA, phone and multimedia
US8205181B1 (en) * 2010-03-05 2012-06-19 Applied Micro Circuits Corporation Victim net crosstalk reduction
AU2011250886A1 (en) 2010-05-10 2013-01-10 Icontrol Networks, Inc Control system user interface
US8836467B1 (en) 2010-09-28 2014-09-16 Icontrol Networks, Inc. Method, system and apparatus for automated reporting of account and sensor zone information to a central station
US11750414B2 (en) 2010-12-16 2023-09-05 Icontrol Networks, Inc. Bidirectional security sensor communication for a premises security system
US9147337B2 (en) 2010-12-17 2015-09-29 Icontrol Networks, Inc. Method and system for logging security event data
JP5664295B2 (ja) * 2011-02-03 2015-02-04 富士通株式会社 通信装置および通信装置設定方法
US8797096B2 (en) 2011-12-09 2014-08-05 International Business Machines Corporation Crosstalk compensation for high speed, reduced swing circuits
US9141742B2 (en) * 2012-12-31 2015-09-22 Synopsys, Inc. Priori corner and mode reduction
US9032352B2 (en) * 2013-06-05 2015-05-12 Synopsys, Inc. Method of optimizing capacitive couplings in high-capacitance nets in simulation of post-layout circuits
CN103645421B (zh) * 2013-12-13 2016-04-13 桂林电子科技大学 高速互连通路串扰故障测试方法
US11405463B2 (en) 2014-03-03 2022-08-02 Icontrol Networks, Inc. Media content management
US11146637B2 (en) 2014-03-03 2021-10-12 Icontrol Networks, Inc. Media content management
JP6354243B2 (ja) * 2014-03-25 2018-07-11 セイコーエプソン株式会社 撮像装置、画像処理装置、表示制御装置、及び撮像表示装置
US9589096B1 (en) * 2015-05-19 2017-03-07 Cadence Design Systems, Inc. Method and apparatus for integrating spice-based timing using sign-off path-based analysis
US10031995B2 (en) * 2015-09-18 2018-07-24 International Business Machines Corporation Detecting circuit design flaws based on timing analysis
US9836566B2 (en) 2015-11-02 2017-12-05 International Business Machines Corporation Hybrid out of context hierarchical design flow for hierarchical timing convergence of integrated circuits for out of context signoff analysis
CN106066914B (zh) * 2016-06-02 2019-05-31 复旦大学 考虑串扰效应的静态时序分析方法
US9996656B2 (en) 2016-06-27 2018-06-12 International Business Machines Corporation Detecting dispensable inverter chains in a circuit design
US10776543B2 (en) 2018-06-25 2020-09-15 International Business Machines Corporation Automated region based optimization of chip manufacture
KR20210067761A (ko) 2019-11-29 2021-06-08 삼성전자주식회사 나노시트를 포함하는 집적 회로를 제조하기 위한 방법 및 컴퓨팅 시스템

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1230847A (zh) * 1998-01-19 1999-10-06 德国汤姆逊-布朗特公司 同步信号调节电路
US20020166101A1 (en) * 2001-03-06 2002-11-07 Nec Usa, Inc. Crosstalk mitigation method and system
CN1464723A (zh) * 2002-06-18 2003-12-31 华为技术有限公司 一种移动通信系统中定时免打扰业务的实现方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000048053A (ja) * 1998-07-27 2000-02-18 Toshiba Corp タイミング解析方法
US6378109B1 (en) * 1999-07-15 2002-04-23 Texas Instruments Incorporated Method of simulation for gate oxide integrity check on an entire IC
US6405348B1 (en) * 1999-10-27 2002-06-11 Synopsys, Inc. Deep sub-micron static timing analysis in the presence of crosstalk
US6615395B1 (en) * 1999-12-20 2003-09-02 International Business Machines Corporation Method for handling coupling effects in static timing analysis
WO2001082145A1 (fr) * 2000-04-21 2001-11-01 Hitachi, Ltd. Procede d'analyse de diaphonie, procede de conception/production de circuit electronique a l'aide dudit procede, et support enregistre de bibliotheque de circuit electronique
JP2002092069A (ja) * 2000-09-18 2002-03-29 Matsushita Electric Ind Co Ltd クロストークを考慮した信号遅延解析方法とそれを用いた遅延改善方法及びlsi設計方法
JP3628973B2 (ja) * 2001-03-21 2005-03-16 株式会社日立製作所 半導体装置の設計方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1230847A (zh) * 1998-01-19 1999-10-06 德国汤姆逊-布朗特公司 同步信号调节电路
US20020166101A1 (en) * 2001-03-06 2002-11-07 Nec Usa, Inc. Crosstalk mitigation method and system
US20030229873A1 (en) * 2001-03-06 2003-12-11 Nec Corporation Crosstalk mitigation method and system
CN1464723A (zh) * 2002-06-18 2003-12-31 华为技术有限公司 一种移动通信系统中定时免打扰业务的实现方法

Also Published As

Publication number Publication date
US7383522B2 (en) 2008-06-03
CN1783096A (zh) 2006-06-07
US20060080627A1 (en) 2006-04-13
JP2006107517A (ja) 2006-04-20
JP4634269B2 (ja) 2011-02-16

Similar Documents

Publication Publication Date Title
CN100446010C (zh) 考虑串扰的定时分析
Agarwal et al. Modeling and analysis of crosstalk noise in coupled RLC interconnects
US7890915B2 (en) Statistical delay and noise calculation considering cell and interconnect variations
CN101305372B (zh) 估计电路延迟的方法和设备
US6182269B1 (en) Method and device for fast and accurate parasitic extraction
CN106066914B (zh) 考虑串扰效应的静态时序分析方法
US6732346B2 (en) Generation of route rules
US20060059446A1 (en) Sensitivity based statistical timing analysis
US6587815B1 (en) Windowing scheme for analyzing noise from multiple sources
Tehrani et al. Deep sub-micron static timing analysis in presence of crosstalk
US8495544B2 (en) Statistical delay and noise calculation considering cell and interconnect variations
US6546529B1 (en) Method for performing coupling analysis
Rahmat et al. Methods for calculating coupling noise in early design: a comparative analysis
US20110167395A1 (en) Timing Point Selection For A Static Timing Analysis In The Presence Of Interconnect Electrical Elements
CN101826120B (zh) 使用随机变量的串扰时延分析
US7363604B2 (en) Accurate noise modeling in digital designs
Xiao et al. Efficient static timing analysis in presence of crosstalk
US6963204B2 (en) Method to include delta-I noise on chip using lossy transmission line representation for the power mesh
Benkoski et al. The role of timing verification in layout synthesis
Gandikota et al. Modeling crosstalk in statistical static timing analysis
Pandini et al. Network reduction for crosstalk analysis in deep submicron technologies
EP0986015B1 (en) Method for the electric dynamic simulation of VLSI circuits
Ye et al. Chip-level verification for parasitic coupling effects in deep-submicron digital designs
Sun et al. RC Extraction-free Wiring Delay Analysis Focusing on Number of On-state Switches for Via-switch FPGA
US6968306B1 (en) Method and system for determining an interconnect delay utilizing an effective capacitance metric (ECM) signal delay model

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081224

Termination date: 20151008

EXPY Termination of patent right or utility model