JP2006054284A - Vacuum processing apparatus - Google Patents

Vacuum processing apparatus Download PDF

Info

Publication number
JP2006054284A
JP2006054284A JP2004234110A JP2004234110A JP2006054284A JP 2006054284 A JP2006054284 A JP 2006054284A JP 2004234110 A JP2004234110 A JP 2004234110A JP 2004234110 A JP2004234110 A JP 2004234110A JP 2006054284 A JP2006054284 A JP 2006054284A
Authority
JP
Japan
Prior art keywords
chamber
processing
vacuum
substrate
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004234110A
Other languages
Japanese (ja)
Inventor
Tatsuhiro Taguchi
竜大 田口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shimadzu Corp
Original Assignee
Shimadzu Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shimadzu Corp filed Critical Shimadzu Corp
Priority to JP2004234110A priority Critical patent/JP2006054284A/en
Priority to KR1020050062054A priority patent/KR100619448B1/en
Priority to TW094124828A priority patent/TWI309224B/en
Priority to CNB200510087362XA priority patent/CN100424814C/en
Publication of JP2006054284A publication Critical patent/JP2006054284A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To simplify substrate transfer processes of a vacuum processing apparatus. <P>SOLUTION: The vacuum processing apparatus 10 has a loading/unloading chamber 11, a preliminarily heating chamber 12, and a processing chamber 13. In the preliminarily heating chamber 12, there are provided a forward transfer apparatus 22, a backward transfer apparatus 32, and a heater H for heating a substrate W. The one heater H is provided on the side of the forward transfer apparatus 22. Forward transfer apparatuses 21, 22 transfer forward the substrate W, and backward transfer apparatuses 31, 32 transfer backward the processed-off substrate W. The loading/unloading chamber 11 is a chamber capable of switching atmospheric releasing to vacuous sealing, and vice versa, and the substrate W is so inputted from the loading/unloading chamber 11 as to be recovered by the chamber 11 via transfer operations x2-x5. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、真空雰囲気中で薄膜形成、エッチング、熱処理などを行う真空処理装置に関する。   The present invention relates to a vacuum processing apparatus that performs thin film formation, etching, heat treatment, and the like in a vacuum atmosphere.

1台の装置で異なる複数の真空処理を順に行う場合、真空中で被処理物を処理室から次の処理室へ搬送する装置として、真空予備加熱室と処理室とを備え、真空予備加熱室に複数の基板搬送手段を設けたロードロック式真空装置が知られている。この装置は、真空予備加熱室に設けられた2つの搬送ラインの各々が処理室との間で基板の授受を行うように構成されている(例えば、特許文献1参照)。   In the case where a plurality of different vacuum processes are sequentially performed with one apparatus, a vacuum preheating chamber is provided as a device for transferring an object to be processed from a processing chamber to the next processing chamber in a vacuum. There is known a load lock type vacuum apparatus provided with a plurality of substrate transfer means. This apparatus is configured such that each of two transfer lines provided in the vacuum preheating chamber exchanges substrates with the processing chamber (see, for example, Patent Document 1).

特開2001−239144号公報(第2頁、図1,2)JP 2001-239144 A (2nd page, FIGS. 1 and 2)

特許文献1のロードロック式真空装置では、2つの搬送ラインのいずれもが処理室への基板搬送と処理室からの基板搬入を行うので、搬送ラインの搬送動作が複雑であるという問題がある。   In the load lock type vacuum apparatus of Patent Document 1, since both of the two transfer lines transfer the substrate to the processing chamber and carry the substrate from the processing chamber, there is a problem that the transfer operation of the transfer line is complicated.

(1)請求項1の真空処理装置は、相互に連結され、被処理物を連続的に真空処理する2以上の第1〜第nの処理室と、第1〜第(n−1)の処理室の各々に設けられ、後段の処理室へ前記被処理物をそれぞれ移送する順移送手段と、第1〜第(n−1)の処理室の各々に順移送手段とは別に設けられ、最後に真空処理を行う第nの処理室から第(n−1)〜第1の処理室の各々を順次経由して処理済みの被処理物を逆移送する逆移送手段と、第nの処理室へ被処理物を順移送するときと第nの処理室から処理済みの被処理物を逆移送するときに、第(n−1)の処理室の順移送手段と逆移送手段とを移送可能位置へそれぞれ移動させる駆動手段とを備えることを特徴とする。
(2)請求項2の真空処理装置は、請求項1の真空処理装置において、順移送手段により被処理物を移送すると共に、逆移送手段により最後に真空処理を行う第nの処理室から処理済みの被処理物を回収することを特徴とする。
(3)請求項3の真空処理装置は、請求項1または2の真空処理装置において、第1〜第nの処理室のうち最初に真空処理を行う第1の処理室へ被処理物を移送し、第1〜第nの処理室のうち最後に真空処理を行う第nの処理室から処理済みの被処理物を回収する、大気開放と真空密閉とを切り換え可能な受け渡し室をさらに備えることを特徴とする。
(1) The vacuum processing apparatus according to claim 1 is connected to each other, and two or more first to n-th processing chambers for continuously vacuum-processing the object to be processed, and first to (n−1) -th processing chambers. Provided in each of the processing chambers, sequentially transferring means for transferring the object to be processed to the subsequent processing chamber, and provided in each of the first to (n-1) th processing chambers separately from the sequentially transferring means, Finally, a reverse transfer means for reversely transferring the processed object from the n-th processing chamber in which vacuum processing is performed through each of the (n-1) to first processing chambers, and the n-th processing When forwardly transferring the object to be processed to the chamber and when reversely transferring the object to be processed from the nth process chamber, the forward transfer means and the reverse transfer means of the (n-1) th process chamber are transferred. And a driving means for moving each to a possible position.
(2) A vacuum processing apparatus according to a second aspect is the vacuum processing apparatus according to the first aspect, wherein the object to be processed is transferred by the forward transfer means and finally processed from the nth processing chamber in which the vacuum processing is performed by the reverse transfer means. It is characterized by recovering the processed object.
(3) The vacuum processing apparatus according to claim 3 is the vacuum processing apparatus according to claim 1 or 2, wherein the object to be processed is transferred to the first processing chamber in which vacuum processing is first performed among the first to n-th processing chambers. And a delivery chamber that collects a processed object from the n-th processing chamber that performs vacuum processing at the end of the first to n-th processing chambers and that can be switched between open to the atmosphere and vacuum-sealed. It is characterized by.

本発明の真空処理装置には、順移送手段と逆移送手段とを専用に備えているので、基板の移送工程の単純化を図ることができる。   Since the vacuum processing apparatus of the present invention is provided with the forward transfer means and the reverse transfer means exclusively, the substrate transfer process can be simplified.

以下、本発明の実施の形態による真空処理装置を図1〜3を参照して説明する。
図1は、本発明の実施の形態による真空処理装置の構成を模式的に示す全体構成図である。真空処理装置10は、ロード/アンロード室11、真空予備加熱室12、処理室13、順移送装置21,22および逆移送装置31,32を備える。ロード/アンロード室11は、大気開放と真空密閉とを切り換え可能に構成され、処理前の基板Wの真空予備加熱室12への移送と処理済みの基板Wの回収を行う。真空予備加熱室12と処理室13は、真空処理装置10が稼動中は常時、内部が真空に保持される。ロード/アンロード室11は、排気系11aとリーク系11bに接続されており、真空予備加熱室12、処理室13は、それぞれ排気系12a,13aに接続されている。外部ステーション100は、真空処理装置10とは別体のものとして構成され、処理前の基板Wを保管して真空処理装置10へ供給するとともに、処理済みの基板Wを回収するための室であり、内部は常時大気圧となっている。
Hereinafter, a vacuum processing apparatus according to an embodiment of the present invention will be described with reference to FIGS.
FIG. 1 is an overall configuration diagram schematically showing a configuration of a vacuum processing apparatus according to an embodiment of the present invention. The vacuum processing apparatus 10 includes a load / unload chamber 11, a vacuum preheating chamber 12, a processing chamber 13, forward transfer devices 21 and 22, and reverse transfer devices 31 and 32. The load / unload chamber 11 is configured to be switchable between atmospheric release and vacuum sealing, and transfers the substrate W before processing to the vacuum preheating chamber 12 and collects the processed substrate W. The vacuum preheating chamber 12 and the processing chamber 13 are always kept in vacuum while the vacuum processing apparatus 10 is in operation. The load / unload chamber 11 is connected to the exhaust system 11a and the leak system 11b, and the vacuum preheating chamber 12 and the processing chamber 13 are connected to the exhaust systems 12a and 13a, respectively. The external station 100 is configured as a separate body from the vacuum processing apparatus 10, and is a chamber for storing the substrate W before processing and supplying it to the vacuum processing apparatus 10 and collecting the processed substrate W. The interior is always at atmospheric pressure.

ロード/アンロード室11には、外部ステーション100側に面してゲートG1が設けられ、ロード/アンロード室11と真空予備加熱室12の境界には、ゲートG2が設けられ、真空予備加熱室12と処理室13の境界には、ゲートG3が設けられている。ゲートG1は、基板Wの装置外部への搬出入のときのみロード/アンロード室11を開放し、通常は密閉している。ゲートG2,G3は、基板Wの処理の際にはそれぞれ室内を密閉し、基板Wの搬出入の際にはそれぞれ室内を開放する。矢印x1,x6は、ゲートG1を基板Wが通過する動作、矢印x2,x5は、ゲートG2を基板Wが通過する動作、矢印x3,x4は、ゲートG3を基板Wが通過する動作を表わす。また、矢印yは、真空予備加熱室12内での基板Wの昇降動作を表わす。基板Wは、真空予備加熱室12で加熱され、処理室13でRFプラズマによる所定の処理、例えば、成膜、エッチング、スパッタリングが施される被処理物である。   The load / unload chamber 11 is provided with a gate G 1 facing the external station 100, and a gate G 2 is provided at the boundary between the load / unload chamber 11 and the vacuum preheating chamber 12. A gate G3 is provided at the boundary between 12 and the processing chamber 13. The gate G1 opens the load / unload chamber 11 only when the substrate W is carried in and out of the apparatus, and is normally sealed. The gates G <b> 2 and G <b> 3 respectively seal the chamber when processing the substrate W, and open the chamber when loading and unloading the substrate W, respectively. Arrows x1 and x6 represent operations through which the substrate W passes through the gate G1, arrows x2 and x5 represent operations through which the substrate W passes through the gate G2, and arrows x3 and x4 represent operations through which the substrate W passes through the gate G3. An arrow y represents the raising / lowering operation of the substrate W in the vacuum preheating chamber 12. The substrate W is an object to be processed that is heated in the vacuum preheating chamber 12 and is subjected to predetermined processing such as film formation, etching, and sputtering in the processing chamber 13 using RF plasma.

ロード/アンロード室11内には、順移送装置21および逆移送装置31が設けられている。順移送装置21は、動作x1によりロード/アンロード室11へ移送された基板Wを真空予備加熱室12へ移送し(動作x2)、逆移送装置31は、真空予備加熱室12から処理済みの基板Wを搬入する(動作x5)。   A forward transfer device 21 and a reverse transfer device 31 are provided in the load / unload chamber 11. The forward transfer device 21 transfers the substrate W transferred to the load / unload chamber 11 by the operation x1 to the vacuum preheating chamber 12 (operation x2), and the reverse transfer device 31 is processed from the vacuum preheating chamber 12. The substrate W is carried in (operation x5).

真空予備加熱室12内には、順移送装置22と、逆移送装置32と、基板Wを予備加熱するためのヒータHとが設けられている。ヒータHは、順移送装置22側に1つ設けられている。順移送装置22は、昇降機構22aにより矢印y方向に移動可能であり、逆移送装置32は、昇降機構32aにより矢印y方向に移動可能である。順移送装置22は、図示のように、順移送装置21と同一高さ位置(予備加熱中の位置でもある)を定位置とし、予備加熱が終了すると、昇降機構22aにより下降して基板Wを処理室13へ移送する(動作x3)。逆移送装置32は、逆移送装置31と同一高さ位置を定位置とし、プラズマ処理が終了すると、昇降機構32aにより上昇して処理室13から真空予備加熱室12へ処理済みの基板Wを搬入する(動作x4)。   In the vacuum preheating chamber 12, a forward transfer device 22, a reverse transfer device 32, and a heater H for preheating the substrate W are provided. One heater H is provided on the forward transfer device 22 side. The forward transfer device 22 can be moved in the arrow y direction by the lifting mechanism 22a, and the reverse transfer device 32 can be moved in the arrow y direction by the lifting mechanism 32a. As shown in the figure, the sequential transfer device 22 is set at the same height position as the sequential transfer device 21 (which is also a pre-heating position), and when the preliminary heating is completed, the sequential transfer device 22 is lowered by the elevating mechanism 22a. Transfer to the processing chamber 13 (operation x3). The reverse transfer device 32 has the same height as the reverse transfer device 31 as a fixed position, and when the plasma processing is completed, the reverse transfer device 32 is elevated by the elevating mechanism 32a and carries the processed substrate W from the processing chamber 13 to the vacuum preheating chamber 12. (Operation x4).

すなわち、順移送装置21,22により、処理前の基板Wを真空予備加熱室12、処理室13へ順次移送し、逆移送装置31,32により、処理済みの基板Wを処理室13から真空予備加熱室12を経てロード/アンロード室11へ逆移送することができる。   That is, the substrate W before processing is sequentially transferred to the vacuum preheating chamber 12 and the processing chamber 13 by the forward transfer devices 21 and 22, and the processed substrate W is transferred from the processing chamber 13 to the vacuum preliminary by the reverse transfer devices 31 and 32. It can be transferred back to the load / unload chamber 11 via the heating chamber 12.

処理室13内には、基板Wのプラズマ処理をするためのRF電極Pと、基板Wを保持する保持部14とが設けられている。本実施の形態では、保持部14は、順移送装置22、逆移送装置32と基板Wの受け渡しをする際に昇降せず固定としているが、順移送装置22と逆移送装置32を固定として、保持部14を昇降させて基板Wの受け渡しをするように構成してもよい。   In the processing chamber 13, an RF electrode P for performing plasma processing of the substrate W and a holding unit 14 for holding the substrate W are provided. In the present embodiment, the holding unit 14 is fixed without moving up and down when transferring the substrate W with the forward transfer device 22 and the reverse transfer device 32, but the forward transfer device 22 and the reverse transfer device 32 are fixed, You may comprise so that the holding | maintenance part 14 may be raised / lowered and the board | substrate W may be delivered.

なお、真空処理装置10には、外部ステーション100からロード/アンロード室11へ基板Wを搬入(動作x1)する搬入装置と、ロード/アンロード室11から外部ステーション100へ基板Wを搬出(動作x6)する搬出装置も設けられているが、図示を省略する。これらの装置により、真空処理装置10への基板Wの投入と真空処理装置10からの基板Wの回収ができる。また、基板W単体の移送だけではなく、基板Wをトレーに載置したまま一体で移送する場合には、外部ステーション100で基板Wを回収するとともに、回収したトレーを外部ステーション100の投入側(図中、上側)へ周回させればよい。   The vacuum processing apparatus 10 carries a substrate W from the external station 100 to the load / unload chamber 11 (operation x1), and carries the substrate W from the load / unload chamber 11 to the external station 100 (operation). Although an unloading device for x6) is also provided, illustration is omitted. With these apparatuses, the substrate W can be loaded into the vacuum processing apparatus 10 and the substrate W can be recovered from the vacuum processing apparatus 10. In addition to transferring the substrate W alone, when the substrate W is transferred as a whole while being placed on the tray, the external station 100 collects the substrate W, and the collected tray is placed on the input side of the external station 100 ( It suffices to circulate to the upper side in the figure.

次に、順移送装置22と逆移送装置32について説明する。図2は、本実施の形態による真空処理装置の順移送装置22と逆移送装置32の構成を模式的に示す斜視図である。
順移送装置22と逆移送装置32は、それぞれ昇降可能な筺体23と33内に設置され、筺体23と33は、それぞれ昇降機構22aと32aにより真空予備加熱室12内で昇降する。
Next, the forward transfer device 22 and the reverse transfer device 32 will be described. FIG. 2 is a perspective view schematically showing the configuration of the forward transfer device 22 and the reverse transfer device 32 of the vacuum processing apparatus according to this embodiment.
The forward transfer device 22 and the reverse transfer device 32 are respectively installed in casings 23 and 33 that can be moved up and down, and the casings 23 and 33 are moved up and down in the vacuum preheating chamber 12 by lift mechanisms 22a and 32a, respectively.

順移送装置22は、複数の搬送部材(搬送ローラ)22bを有する。搬送部材22bは、予備加熱中は基板Wを載置し、基板移送時には軸廻りに回転して動作x3に沿って基板Wを処理室13へ移送する回転部材(回転ローラ)である。また、筺体23には、基板Wを通過させるための開口である搬入口23a、搬出口23bが設けられている。   The forward transfer device 22 includes a plurality of conveying members (conveying rollers) 22b. The transport member 22b is a rotating member (rotating roller) that places the substrate W during preheating and rotates around the axis when the substrate is transferred to transfer the substrate W to the processing chamber 13 along the operation x3. Further, the housing 23 is provided with a carry-in port 23a and a carry-out port 23b that are openings for allowing the substrate W to pass therethrough.

逆移送装置32も同様に、複数の搬送部材(搬送ローラ)32bを有する。搬送部材32bは、軸廻りに回転して動作x4に沿って、処理室13でプラズマ処理を終了した基板Wを真空予備加熱室12内へ移送する回転部材(回転ローラ)である。このときの搬送部材32bの回転方向は、搬送部材22bの回転方向と反対である。また、筺体33には、基板Wを通過させるための開口である搬入口33a、搬出口33bが設けられている。   Similarly, the reverse transfer device 32 includes a plurality of conveying members (conveying rollers) 32b. The transport member 32b is a rotating member (rotating roller) that rotates around the axis and moves the substrate W, which has been subjected to the plasma processing in the processing chamber 13, into the vacuum preheating chamber 12 along operation x4. At this time, the rotation direction of the conveyance member 32b is opposite to the rotation direction of the conveyance member 22b. The housing 33 is provided with a carry-in port 33a and a carry-out port 33b, which are openings for allowing the substrate W to pass therethrough.

保持部14は、軸廻りに回転できる搬送部材(搬送ローラ)14aを有し、搬送部材14aは、順移送装置22による真空予備加熱室12から処理室13への基板移送を補助するとともに、逆移送装置32による処理室13から真空予備加熱室12への基板移送を補助する回転部材(回転ローラ)である。当然ながら、順移送と逆移送では、搬送部材14aの回転方向は反対となる。   The holding unit 14 includes a conveyance member (conveyance roller) 14 a that can rotate around an axis, and the conveyance member 14 a assists the substrate transfer from the vacuum preheating chamber 12 to the processing chamber 13 by the sequential transfer device 22, and reversely. This is a rotating member (rotating roller) that assists the transfer of the substrate from the processing chamber 13 to the vacuum preheating chamber 12 by the transfer device 32. Of course, in the forward transfer and the reverse transfer, the rotation direction of the transport member 14a is opposite.

図2に示される状態は、順移送装置22の搬送部材22bが保持部14の搬送部材14aと対応する高さ位置、好ましくは両者が同一平面上にあり、予備加熱を終了した基板Wを処理室13へ移送できる状態である。このように、基板Wを同一平面上で移送するので、基板Wを保持部14へ移す際の落下などを防止できる。反対に、逆移送装置32により基板Wを処理室13から真空予備加熱室12内へ移送する場合は、昇降機構22aにより順移送装置22を上昇させるとともに、昇降機構32aにより逆移送装置32も上昇させ、逆移送装置32の搬送部材32bが保持部14の搬送部材14aと対応する高さ位置、好ましくは両者が同一平面上になるように位置決めする。これにより、処理済の基板Wを水平面上で移送することができる。なお、順移送装置22と逆移送装置32を昇降可能な1つの筺体に設置し、昇降機構も1つとする構成でも、上述のような基板Wの順位送と逆移送が可能である。   In the state shown in FIG. 2, the transport member 22b of the sequential transfer device 22 is at a height position corresponding to the transport member 14a of the holding unit 14, preferably both are on the same plane, and the substrate W that has been preheated is processed. It can be transferred to the chamber 13. As described above, since the substrate W is transferred on the same plane, it is possible to prevent a drop or the like when the substrate W is transferred to the holding unit 14. On the contrary, when the substrate W is transferred from the processing chamber 13 into the vacuum preheating chamber 12 by the reverse transfer device 32, the forward transfer device 22 is lifted by the lifting mechanism 22a, and the reverse transfer device 32 is also lifted by the lifting mechanism 32a. Then, the conveying member 32b of the reverse transfer device 32 is positioned such that the conveying member 32b corresponds to the conveying member 14a of the holding unit 14, and preferably both are on the same plane. Thereby, the processed board | substrate W can be transferred on a horizontal surface. Even if the forward transfer device 22 and the reverse transfer device 32 are installed in one casing that can be moved up and down, and there is also one lifting mechanism, the order transfer and reverse transfer of the substrates W as described above are possible.

このように、移送の動作x3は順方向であり、動作x4は逆方向であり、それぞれ専用に移送装置が設けられているので、搬送部材22b,32bの回転方向は、それぞれ所定の一方向のみである。従って、基板Wの移送のコントロールは単純化できる。また、図示されるように、順移送装置22を上段に、逆移送装置32を下段に配置し、ヒータHを最上段に配置しているので、ヒータHによる加熱の影響が逆移送装置32へ及ぶこともない。つまり、動作x4の逆移送過程にある基板Wは、加熱の影響を受けずに回収される。なお、順移送装置22と逆移送装置32との配置は、上下2段に限らず、水平方向に2列でもよい。水平方向に2列配置する場合は、ヒータHを順移送装置22側に配置する。   As described above, the transfer operation x3 is the forward direction, the operation x4 is the reverse direction, and the transfer device is provided exclusively for each. Therefore, the rotation direction of the transport members 22b and 32b is only one predetermined direction. It is. Therefore, the control of the transfer of the substrate W can be simplified. Further, as shown in the figure, the forward transfer device 22 is arranged in the upper stage, the reverse transfer device 32 is arranged in the lower stage, and the heater H is arranged in the uppermost stage. It doesn't reach. That is, the substrate W in the reverse transfer process of the operation x4 is recovered without being affected by the heating. The arrangement of the forward transfer device 22 and the reverse transfer device 32 is not limited to the upper and lower two stages, and may be two rows in the horizontal direction. When two rows are arranged in the horizontal direction, the heaters H are arranged on the forward transfer device 22 side.

次に、図3を参照しながら、基板Wの移送動作について説明する。図3は、真空処理装置10を用いた基板移送のタイミングチャートであり、図3(a)〜(d)は、所定時間が経過したときの装置内部の状態を表わす。なお、図面が煩雑になるのを避けるために、図3では基板Wとその動きを主体に図示するとともに、構成部品の符号は、図3(a)のみに付す。また、基板Wには、処理される順番にW1,W2,W3・・・のように番号を付す。   Next, the transfer operation of the substrate W will be described with reference to FIG. FIG. 3 is a timing chart of substrate transfer using the vacuum processing apparatus 10, and FIGS. 3A to 3D show the internal state of the apparatus when a predetermined time has elapsed. In order to avoid complication of the drawing, FIG. 3 mainly illustrates the substrate W and its movement, and the reference numerals of the component parts are attached only to FIG. The substrates W are numbered as W1, W2, W3... In the order of processing.

図3(a)を参照すると、動作x1〜x3は、図中、右方へ進む移送動作(順移送)であり、動作x4〜x6は、図中、左方へ進む移送動作(逆移送)である。動作x1では、ロード/アンロード室11を大気圧に圧力調節した後にゲートG1を開いて基板Wを搬入し、ゲートG1を閉じてロード/アンロード室11を真空排気する。動作x6でも同様に、ロード/アンロード室11を大気圧に圧力調節した後にゲートG1を開いて基板Wを搬出し、ゲートG1を閉じてロード/アンロード室11を真空排気する。動作x2,x3,x4,x5では、ロード/アンロード室11、真空予備加熱室12および処理室13の各室がほぼ同等の真空圧下にあり、圧力調節をせずにゲート開閉が行われる。   Referring to FIG. 3 (a), operations x1 to x3 are transfer operations that proceed to the right in the drawing (forward transfer), and operations x4 to x6 are transfer operations that move to the left in the drawing (reverse transfer). It is. In operation x1, after the pressure of the load / unload chamber 11 is adjusted to atmospheric pressure, the gate G1 is opened to load the substrate W, the gate G1 is closed, and the load / unload chamber 11 is evacuated. Similarly, in the operation x6, after the pressure of the load / unload chamber 11 is adjusted to the atmospheric pressure, the gate G1 is opened to unload the substrate W, the gate G1 is closed, and the load / unload chamber 11 is evacuated. In the operations x2, x3, x4, and x5, the load / unload chamber 11, the vacuum preheating chamber 12, and the processing chamber 13 are under substantially the same vacuum pressure, and the gate is opened and closed without adjusting the pressure.

本実施の形態では、簡単のために、真空予備加熱室12が最初に真空処理を行う処理室に相当し、処理室13が最後に真空処理を行う処理室に相当し、真空予備加熱室12での予備加熱時間と処理室13での処理時間が同じであるとする。これらの1サイクルの時間をTとする。   In the present embodiment, for simplicity, the vacuum preheating chamber 12 corresponds to a processing chamber that performs vacuum processing first, the processing chamber 13 corresponds to a processing chamber that performs vacuum processing last, and the vacuum preheating chamber 12 It is assumed that the preheating time in the process and the processing time in the processing chamber 13 are the same. Let T be the time of these one cycle.

図3(a)は、次のような時系列で移送した後の状態を示す。すなわち、1番目の基板W1を動作x2によりロード/アンロード室11から真空予備加熱室12へ移送する。その移送後にロード/アンロード室11を大気開放して、2番目の基板W2を動作x1により外部ステーション100からロード/アンロード室11へ移送する。3番目の基板W3を外部ステーション100で待機させる。図3(a)の状態を初期状態t=0とする。   FIG. 3A shows a state after the transfer in the following time series. That is, the first substrate W1 is transferred from the load / unload chamber 11 to the vacuum preheating chamber 12 by the operation x2. After the transfer, the load / unload chamber 11 is opened to the atmosphere, and the second substrate W2 is transferred from the external station 100 to the load / unload chamber 11 by the operation x1. The third substrate W3 is put on standby at the external station 100. The state in FIG. 3A is assumed to be the initial state t = 0.

図3(b)は、1サイクルの時間Tが経過し、t=Tのときの真空処理装置10の状態を示す。この1サイクルの時間中に、大気開放されていたロード/アンロード室11の真空排気が完了している。順移送装置22を定位置からy2方向に下降させ、処理室13の保持部14と同一高さとした後に、予備加熱処理が終わった基板W1を動作x3により処理室13へ移送する。順移送装置22を定位置に戻し、基板W2を動作x2によりロード/アンロード室11から真空予備加熱室12へ移送し、基板W3を動作x1により外部ステーション100からロード/アンロード室11へ移送し、4番目の基板W4を外部ステーション100で待機させる。   FIG. 3B shows the state of the vacuum processing apparatus 10 when the time T of one cycle has elapsed and t = T. During this one cycle time, the evacuation of the load / unload chamber 11 that has been opened to the atmosphere is completed. After the sequential transfer device 22 is lowered from the fixed position in the y2 direction to the same height as the holding portion 14 of the processing chamber 13, the substrate W1 that has been subjected to the preheating treatment is transferred to the processing chamber 13 by the operation x3. The sequential transfer device 22 is returned to a fixed position, the substrate W2 is transferred from the load / unload chamber 11 to the vacuum preheating chamber 12 by operation x2, and the substrate W3 is transferred from the external station 100 to the load / unload chamber 11 by operation x1. Then, the fourth substrate W4 is put on standby at the external station 100.

図3(c)は、さらに1サイクルの時間が経過し、t=2Tのときの真空処理装置10の状態を示す。逆移送装置32を定位置からy1方向に上昇させ、処理室13の保持部14と同一高さとした後に、プラズマ処理が終わった基板W1を動作x4により真空予備加熱室12へ移送する。図3(b)で説明した手順と同様に、基板W2,W3を1室づつ右方へ移送し、基板W4を動作x1により外部ステーション100からロード/アンロード室11へ移送し、5番目の基板W5を外部ステーション100で待機させる。   FIG. 3C shows the state of the vacuum processing apparatus 10 when one cycle has elapsed and t = 2T. After the reverse transfer device 32 is lifted from the fixed position in the y1 direction to the same height as the holding unit 14 of the processing chamber 13, the substrate W1 after the plasma processing is transferred to the vacuum preheating chamber 12 by the operation x4. Similarly to the procedure described in FIG. 3B, the substrates W2 and W3 are transferred to the right one by one, and the substrate W4 is transferred from the external station 100 to the load / unload chamber 11 by the operation x1. The substrate W5 is put on standby at the external station 100.

図3(d)は、さらに1サイクルの時間が経過し、t=3Tのときの真空処理装置10の状態を示す。逆移送装置32を定位置に戻し、基板W1を動作x5により真空予備加熱室12からロード/アンロード室11へ移送する。逆移送装置32をy1方向に上昇させ、処理室13のステージと同一高さとした後に、プラズマ処理が終わった基板W2を動作x4により真空予備加熱室12へ移送する。図3(b)で説明した手順と同様に、基板W3,W4を1室づつ右方へ移送し、基板W5を動作x1により外部ステーション100からロード/アンロード室11へ移送し、6番目の基板W6を外部ステーション100で待機させる。   FIG. 3D shows the state of the vacuum processing apparatus 10 when one cycle has elapsed and t = 3T. The reverse transfer device 32 is returned to the home position, and the substrate W1 is transferred from the vacuum preheating chamber 12 to the load / unload chamber 11 by operation x5. After the reverse transfer device 32 is moved up in the y1 direction to the same height as the stage of the processing chamber 13, the substrate W2 after the plasma processing is transferred to the vacuum preheating chamber 12 by the operation x4. Similarly to the procedure described with reference to FIG. 3B, the substrates W3 and W4 are transferred to the right one by one, and the substrate W5 is transferred from the external station 100 to the load / unload chamber 11 by the operation x1. The substrate W6 is put on standby at the external station 100.

以下、上記工程の繰り返しにより、複数の基板Wを連続的に処理して回収することができる。本実施の形態の真空処理装置は、順方向の移送を行う順移送装置21,22と逆方向の移送を行う逆移送装置22,32をそれぞれ専用に設けているので、単純な移送操作(アルゴリズム)で基板Wの移送と回収を行うことができ、さらに、基板Wの移送と回収を同じタイミングで行うこともできる。従って、図3のタイミングチャートに示されるように、ロード/アンロード室11、真空予備加熱室12および処理室13には常に基板Wが存在しており、空き時間が生じない。また、ヒータHは、逆方向の移送を行う逆移送装置32には設ける必要がなく、順方向の移送を行う順移送装置22のみに設けるだけなので、設備費を低減することができる。   Hereinafter, a plurality of substrates W can be continuously processed and recovered by repeating the above steps. Since the vacuum processing apparatus of this embodiment is provided with the forward transfer apparatuses 21 and 22 for transferring in the forward direction and the reverse transfer apparatuses 22 and 32 for transferring in the reverse direction, respectively, a simple transfer operation (algorithm) ), The substrate W can be transferred and recovered, and the substrate W can be transferred and recovered at the same timing. Therefore, as shown in the timing chart of FIG. 3, the substrate W is always present in the load / unload chamber 11, the vacuum preheating chamber 12, and the processing chamber 13, and no free time is generated. Further, the heater H does not need to be provided in the reverse transfer device 32 that performs transfer in the reverse direction, and is provided only in the forward transfer device 22 that performs transfer in the forward direction, so that the equipment cost can be reduced.

また、ロード/アンロード室11は、基板Wの搬出入のために大気開放と真空排気を各サイクルタイムで1回づつ行うが、この動作は基板Wの処理中に行われるので、処理の空き時間は全く生じない。従って、複数種類の真空処理を1台の真空処理装置で行う場合にタクトタイムを短縮することができる。   Further, the loading / unloading chamber 11 performs air release and evacuation once for each cycle time for loading / unloading of the substrate W, but since this operation is performed during the processing of the substrate W, there is no processing space. There is no time at all. Accordingly, the tact time can be shortened when a plurality of types of vacuum processing are performed by a single vacuum processing apparatus.

本実施の形態の真空処理装置10は、ロード/アンロード室11、真空予備加熱室12、処理室13の3室構成であり、ロード/アンロード室11が処理前の基板Wを真空予備加熱室12へ移送するロード室と処理済みの基板Wを処理室13から回収するアンロード室を兼ねているので、真空処理装置10全体として小型化を達成できる。   The vacuum processing apparatus 10 according to this embodiment has a three-chamber configuration including a load / unload chamber 11, a vacuum preheating chamber 12, and a processing chamber 13. The load / unload chamber 11 vacuum preheats the substrate W before processing. Since the load chamber for transferring to the chamber 12 and the unload chamber for recovering the processed substrate W from the processing chamber 13 are also used, the entire vacuum processing apparatus 10 can be reduced in size.

本発明は、その特徴を損なわない限り、以上説明した実施の形態に何ら限定されない。例えば、ロード/アンロード室11を省略し、真空予備加熱室12と処理室13の2室構成でも、本発明が適用でき、より一層の小型化を図ることができる。この場合は、真空予備加熱室12を大気開放と真空排気ができるようにし、外部(例えば、外部ステーション)から直接に真空予備加熱室12に対する基板Wの搬出入を行う。また、最後に真空処理を行う処理室13の前段に2つ以上の処理室を連結し、3種類以上の処理を連続的に行う真空処理装置にも本発明が適用できる。また、本実施の形態では、真空予備加熱室12とプラズマ処理を行う処理室13の組み合わせを例に説明したが、それ以外の真空処理の組み合わせにも本発明が適用できる。   The present invention is not limited to the embodiments described above as long as the characteristics are not impaired. For example, the present invention can be applied to a two-room configuration including the vacuum preheating chamber 12 and the processing chamber 13 while omitting the load / unload chamber 11, and further miniaturization can be achieved. In this case, the vacuum preheating chamber 12 can be opened to the atmosphere and evacuated, and the substrate W is carried into and out of the vacuum preheating chamber 12 directly from the outside (for example, an external station). Further, the present invention can also be applied to a vacuum processing apparatus in which two or more processing chambers are connected to the previous stage of the processing chamber 13 for finally performing vacuum processing, and three or more types of processing are continuously performed. In this embodiment, the combination of the vacuum preheating chamber 12 and the processing chamber 13 for performing plasma processing has been described as an example. However, the present invention can be applied to other combinations of vacuum processing.

本発明の実施の形態に係る真空処理装置の構成を模式的に示す全体構成図である。1 is an overall configuration diagram schematically showing a configuration of a vacuum processing apparatus according to an embodiment of the present invention. 本発明の実施の形態に係る真空処理装置の移送装置を模式的に示す斜視図である。It is a perspective view which shows typically the transfer apparatus of the vacuum processing apparatus which concerns on embodiment of this invention. 本発明の実施の形態に係る真空処理装置を用いた基板移送のタイミングチャートである。It is a timing chart of substrate transfer using the vacuum processing apparatus concerning an embodiment of the invention.

符号の説明Explanation of symbols

10:真空処理装置
11:ロード/アンロード室
12:真空予備加熱室
13:処理室
14:保持部
21,22:順移送装置
22a,32a:昇降機構
31,32:逆移送装置
100:外部ステーション
G1〜G3:ゲート
H:ヒータ
W,W1〜W6:基板(被処理物)
x1〜x6:移送動作(動作)
y,y1,y2:昇降動作
DESCRIPTION OF SYMBOLS 10: Vacuum processing apparatus 11: Load / unload chamber 12: Vacuum preheating chamber 13: Processing chamber 14: Holding part 21, 22: Forward transfer apparatus 22a, 32a: Lifting mechanism 31, 32: Reverse transfer apparatus 100: External station G1 to G3: Gate H: Heater W, W1 to W6: Substrate (object to be processed)
x1 to x6: Transfer operation (operation)
y, y1, y2: Elevating operation

Claims (3)

相互に連結され、被処理物を連続的に真空処理する2以上の第1〜第nの処理室と、
前記第1〜第(n−1)の処理室の各々に設けられ、後段の処理室へ前記被処理物をそれぞれ移送する順移送手段と、
前記第1〜第(n−1)の処理室の各々に前記順移送手段とは別に設けられ、最後に真空処理を行う第nの処理室から第(n−1)〜第1の処理室の各々を順次経由して処理済みの被処理物を逆移送する逆移送手段と、
前記第nの処理室へ前記被処理物を順移送するときと前記第nの処理室から前記処理済みの被処理物を逆移送するときに、前記第(n−1)の処理室の前記順移送手段と前記逆移送手段とを移送可能位置へそれぞれ移動させる駆動手段とを備えることを特徴とする真空処理装置。
Two or more first to n-th processing chambers connected to each other and continuously vacuum-treating an object to be processed;
A forward transfer means provided in each of the first to (n-1) th processing chambers for transferring the object to be processed to a subsequent processing chamber;
Each of the first to (n-1) th processing chambers is provided separately from the forward transfer means, and finally the (n-1) th to first processing chambers from the nth processing chamber that performs vacuum processing. A reverse transfer means for reversely transferring the processed object through each of the above,
When sequentially transferring the object to be processed to the n-th processing chamber and when reversely transferring the object to be processed from the n-th processing chamber, the (n-1) -th processing chamber A vacuum processing apparatus comprising: a driving unit that moves the forward transfer unit and the reverse transfer unit to a transferable position.
請求項1に記載の真空処理装置において、
前記順移送手段により前記被処理物を移送すると共に、前記逆移送手段により前記最後に真空処理を行う第nの処理室から前記処理済みの被処理物を回収することを特徴とする真空処理装置。
The vacuum processing apparatus according to claim 1,
A vacuum processing apparatus that transfers the object to be processed by the forward transfer unit and collects the processed object from the n-th processing chamber in which the vacuum process is finally performed by the reverse transfer unit. .
請求項1または2に記載の真空処理装置において、
前記第1〜第nの処理室のうち最初に真空処理を行う前記第1の処理室へ前記被処理物を移送し、前記第1〜第nの処理室のうち最後に真空処理を行う前記第nの処理室から前記処理済みの被処理物を回収する、大気開放と真空密閉とを切り換え可能な受け渡し室をさらに備えることを特徴とする真空処理装置。
The vacuum processing apparatus according to claim 1 or 2,
The processing object is transferred to the first processing chamber that performs vacuum processing first among the first to n-th processing chambers, and the vacuum processing is performed last among the first to n-th processing chambers. A vacuum processing apparatus, further comprising a delivery chamber for recovering the processed object from the n-th processing chamber and capable of switching between open air and vacuum sealing.
JP2004234110A 2004-08-11 2004-08-11 Vacuum processing apparatus Pending JP2006054284A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2004234110A JP2006054284A (en) 2004-08-11 2004-08-11 Vacuum processing apparatus
KR1020050062054A KR100619448B1 (en) 2004-08-11 2005-07-11 Vacuum processing apparatus
TW094124828A TWI309224B (en) 2004-08-11 2005-07-22 Vacuum treatment apparatus
CNB200510087362XA CN100424814C (en) 2004-08-11 2005-07-28 Vacuum processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004234110A JP2006054284A (en) 2004-08-11 2004-08-11 Vacuum processing apparatus

Publications (1)

Publication Number Publication Date
JP2006054284A true JP2006054284A (en) 2006-02-23

Family

ID=36031569

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004234110A Pending JP2006054284A (en) 2004-08-11 2004-08-11 Vacuum processing apparatus

Country Status (4)

Country Link
JP (1) JP2006054284A (en)
KR (1) KR100619448B1 (en)
CN (1) CN100424814C (en)
TW (1) TWI309224B (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009011166A1 (en) * 2007-07-13 2009-01-22 Sharp Kabushiki Kaisha Vacuum processing device and vacuum processing method
WO2009148077A1 (en) * 2008-06-06 2009-12-10 株式会社アルバック Apparatus for manufacturing thin film solar cell
WO2009148081A1 (en) * 2008-06-06 2009-12-10 株式会社アルバック Thin film solar cell manufacturing equipment
CN101882565A (en) * 2010-06-03 2010-11-10 北京北方微电子基地设备工艺研究中心有限责任公司 Online processing equipment
KR101071344B1 (en) 2009-07-22 2011-10-07 세메스 주식회사 Apparatus and method for processing substrate
JP2011216516A (en) * 2010-03-31 2011-10-27 Dainippon Screen Mfg Co Ltd Apparatus and method of processing substrate
JP2014072366A (en) * 2012-09-28 2014-04-21 Tdk Corp Deposition processing apparatus

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101952950B (en) * 2008-02-28 2012-08-01 株式会社爱发科 Transfer apparatus, vacuum processing apparatus and transfer method
KR101487382B1 (en) * 2008-10-22 2015-01-29 주식회사 원익아이피에스 In-line type semiconductor manufacturing device
KR20110000309A (en) * 2009-06-26 2011-01-03 주식회사 미뉴타텍 Apparatus and method for vacuum molding substrate
JP5835722B2 (en) * 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー Automatic ranking multi-directional serial processor
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR102019779B1 (en) * 2011-11-15 2019-09-09 세메스 주식회사 Substrate treating apparatus
KR102514121B1 (en) 2021-03-08 2023-03-23 송필남 Golf Putter With Adjustable Center of Gravity

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3717119A (en) * 1971-07-30 1973-02-20 Gen Motors Corp Vacuum processing machine for aluminizing headlamp reflectors
JPH0831506B2 (en) * 1986-07-17 1996-03-27 松下電器産業株式会社 Substrate transfer device
JP2001239144A (en) * 2000-02-29 2001-09-04 Shimadzu Corp Load lock type vacuum apparatus
JP2002222846A (en) * 2001-01-26 2002-08-09 Shin Meiwa Ind Co Ltd Vacuum transport device
JP2003229466A (en) * 2002-02-04 2003-08-15 Seiko Instruments Inc Vacuum processor
JP4277517B2 (en) * 2002-11-29 2009-06-10 株式会社ニコン Exposure apparatus and substrate transfer apparatus

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009011166A1 (en) * 2007-07-13 2009-01-22 Sharp Kabushiki Kaisha Vacuum processing device and vacuum processing method
TWI406430B (en) * 2008-06-06 2013-08-21 Ulvac Inc Apparatus for manufacturing thin-film solar cell
WO2009148077A1 (en) * 2008-06-06 2009-12-10 株式会社アルバック Apparatus for manufacturing thin film solar cell
WO2009148081A1 (en) * 2008-06-06 2009-12-10 株式会社アルバック Thin film solar cell manufacturing equipment
TWI452713B (en) * 2008-06-06 2014-09-11 Ulvac Inc Apparatus for manufacturing thin-film solar cell
KR101210533B1 (en) * 2008-06-06 2012-12-10 가부시키가이샤 아루박 thin film solar cell manufacturing equipment
KR101215588B1 (en) * 2008-06-06 2012-12-26 가부시키가이샤 아루박 Apparatus for manufacturing thin film solar cell
JP5186563B2 (en) * 2008-06-06 2013-04-17 株式会社アルバック Thin film solar cell manufacturing equipment
KR101071344B1 (en) 2009-07-22 2011-10-07 세메스 주식회사 Apparatus and method for processing substrate
JP2011216516A (en) * 2010-03-31 2011-10-27 Dainippon Screen Mfg Co Ltd Apparatus and method of processing substrate
CN101882565A (en) * 2010-06-03 2010-11-10 北京北方微电子基地设备工艺研究中心有限责任公司 Online processing equipment
CN101882565B (en) * 2010-06-03 2012-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 Online processing equipment
JP2014072366A (en) * 2012-09-28 2014-04-21 Tdk Corp Deposition processing apparatus

Also Published As

Publication number Publication date
CN100424814C (en) 2008-10-08
CN1734711A (en) 2006-02-15
KR100619448B1 (en) 2006-09-06
TWI309224B (en) 2009-05-01
TW200606094A (en) 2006-02-16
KR20060050029A (en) 2006-05-19

Similar Documents

Publication Publication Date Title
KR100619448B1 (en) Vacuum processing apparatus
CN101295628B (en) Vertical heat treatment apparatus and method of transferring substrates to be processed
KR100712732B1 (en) Substrate transfer aparatus and vacuum process aparatus having the same
KR101290884B1 (en) Vacuum processing apparatus and substrate processing method
JPH09176857A (en) Vacuum device for surface treatment of work piece
JP5208948B2 (en) Vacuum processing system
TW200934975A (en) A gate valve device, a vacuum process devide and a method of opening and closing a valve body in the gate valve device
JP2001135704A (en) Substrate treatment apparatus and transfer control method for substrate transfer tray
JP2008034739A (en) Load lock device, substrate processing apparatus and substrate processing system equipped therewith
JP4494523B2 (en) Inline type wafer transfer apparatus and substrate transfer method
TW201230233A (en) Vacuum processing apparatus
JPH06314730A (en) Vacuum processing apparatus
JP2001338578A5 (en)
SG189240A1 (en) Device and method for processing of wafers
EP3706162A1 (en) Substrate accommodation device
JP5280901B2 (en) Substrate processing system and substrate processing method
JP2005314720A (en) Vacuum treatment apparatus
JP4248663B2 (en) Vacuum handler and transfer method
KR100807600B1 (en) A indexer having function of preheating semiconductor material
JP2010225957A (en) Substrate processing apparatus and substrate processing method using the same
JP3082148B2 (en) Compound type wafer processing equipment
JPWO2009028595A1 (en) Substrate processing equipment
JP2002020868A (en) Thin film deposition system
JP2008034740A (en) Load lock device, substrate processing apparatus and substrate processing system equipped therewith
JP2002246435A (en) Substrate processor and substrate-processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061106

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090428

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090901