JP2005524231A - 集積回路デバイス内のルーティングの容量性と誘導性の両方の信号結合効果を除去するための給電および接地シールド・メッシュ - Google Patents

集積回路デバイス内のルーティングの容量性と誘導性の両方の信号結合効果を除去するための給電および接地シールド・メッシュ Download PDF

Info

Publication number
JP2005524231A
JP2005524231A JP2004500331A JP2004500331A JP2005524231A JP 2005524231 A JP2005524231 A JP 2005524231A JP 2004500331 A JP2004500331 A JP 2004500331A JP 2004500331 A JP2004500331 A JP 2004500331A JP 2005524231 A JP2005524231 A JP 2005524231A
Authority
JP
Japan
Prior art keywords
lines
substrate
integrated circuit
shield mesh
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004500331A
Other languages
English (en)
Inventor
ホ,イウ−メン・トム
Original Assignee
シンプリシティ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シンプリシティ・インコーポレーテッド filed Critical シンプリシティ・インコーポレーテッド
Publication of JP2005524231A publication Critical patent/JP2005524231A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5225Shielding layers formed together with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Shielding Devices Or Components To Electric Or Magnetic Fields (AREA)

Abstract

集積回路デバイス内でルーティングの容量性と誘導性の両方の信号結合効果を取り除くための給電と接地のシールド・メッシュである。或る実施形態は、容量結合と誘導結合によって生じるノイズを取り除くための給電線と接地線の両方のシールド・メッシュのルーティングを述べている。充分に接続された給電シールド・メッシュと接地シールド・メッシュの中間に比較的長い信号線がルーティングされ、それは信号ルーティング段階の間、または給電メッシュのルーティング段階の間にルータによって生成される。信号ルーティングのために奇数のトラックまたは偶数のトラックだけを残し、給電(VDD)のメッシュと接地(VSS)のメッシュがルーティングされ、かつ短いセグメントを残して充分に相互接続され、それにより、回路デバイスのRCの効果を減少させる。また別の実施形態は、グリッドレスのルーティングについて給電と接地のメッシュを使用して信号がシールドされる場合を提示する。また別の実施形態は、信号が偶数のグリッド上にルーティングされ、給電線と接地線が奇数のグリッド上にルーティングされる多層グリッドのルーティング技術を提示する。類似した実施形態は、信号が層NとN+1の間でルーティングされるグリッド・ルーティング技術を表わす。また別の実施形態は、左、右、上、下で対向する給電と接地のグリッドによって信号がシールドされる。追加的な実施形態もまた、標準的なセルで、および/またはゲートアレーのルーティング領域もしくはいかなる他の信号線もシールドされるべきである他の領域で使用される同様のメッシュの使用を含む。

Description

本発明の分野は、集積回路デバイス内の信号の容量結合と誘導結合によって引き起こされる問題を解決するための回路に関する。信号の容量結合と誘導結合のこの特別の問題は、工業が進歩して回路デバイスのサイズを小さくする(例えば、0.25μM技術から0.18μM、0.15μM、0.13μMおよびそれ以下の)方向に移行するにつれてますます困難になりつつある。
商業市場の中でのそれらの成長やさらに小型の集積回路(2、3の例を挙げると携帯電話、腕時計カメラ、携帯型オルガナイザで使用されるIC)に関する消費者の要望が増すにつれて、ICサイズへの要求の傾向は小型化とより少ない電力消費へと向かい続けている。ICサイズへの要求がより小いものへとなると、半導体製造業者は過去よりもはるかに小さいレベルの回路を設計することを強いられる。以前、超LSI(VLSI)から超超LSI(ULSI)へと工業が移行したとき、回路自体の相対的な容量結合と誘導結合は重大な問題として認識されなかった。
しかしながら、半導体業界がサブミクロン・レベルの技術(そこでは回路配線間の間隔は10−6m未満である)やそれ以下で回路を設計し、実装するとき、回路自体の内部の信号線の容量結合と誘導結合が設計者にとって重大な問題として認識されるようになった。回路サイズがさらに小さくなり、信号線の相対的距離がさらに長くなるにつれて、信号線と接地または電力線との間の結合および/またはクロストークの問題がさらに明らかになった。さらに、接地へ信号線の結合および/またはその他の信号線が強くなるにつれて、所定の信号に関する信号対ノイズ比が比例して増大する。信号の容量結合と誘導結合のこの特定の問題は、工業が進歩して回路デバイスのサイズをより小さくする(例えば、0.25μM技術から0.18μM、0.15μM、0.13μMおよびそれ以下の)方向に移行するにつれてますます困難になりつつある。
信号対ノイズ比(または容量結合と誘導結合)を最小にするための1つの先行技術の取り組み方は、信号駆動レベルを強くすることである。信号強度を上げることによって、合計の信号対ノイズ比は下がる。残念なことに、信号強度を上げるためにはデバイスにより高い電力を供給しなければならない。この解決策は、熱的な課題、携帯性の課題、環境上の課題に関してICの電力消費を下げようとする現在の傾向と相容れない。さらに高い電力の必要性に加えて、この先行技術の取り組み方は結合の問題を取り除かない。
別の先行技術の取り組み方は信号線の実効(R−L−C)インピーダンスを下げ、それにより、信号線間の間隔を増すことである。概して、信号線間の間隔を3倍に増しても結合効果は50%下がるだけである。この先行技術の取り組み方は、普通、結合を最小限にしてかつ信号対ノイズ比を下げるために最初の先行技術の取り組み方と組み合わされる。この取り組み方は回路の小型化に関する現代の傾向と合致しない。
さらに別の先行技術の取り組み方は、VDDまたは接地のいずれかの供給電圧を使用して信号線をシールドすることである。この先行技術の取り組み方を使用するとき、シールド自体がノイズを他の信号線に伝えないようにシールド線(接地)は(低いインピーダンスの)充分に幅広であることを必要とするであろう。
信号線と隣接してシールド線を設ける先行技術の取り組み方に信号強度を上げることを組み合わせて補償しようとする先行技術の取り組み方が図1に示されている。この描写100に示されるように、信号線110がシールド線120に沿ってルーティングされている。これは隣りの信号線からノイズをシールドするために使用される。サブミクロン技術に対しては、信号とシールド線の長さは線の厚さに対して相対的に長くなることがあり、その結果、所定の基板上の回路内で高い信号対ノイズ比または高いクロストークにつながる可能性がある。
したがって、ICデバイスのルーティング・リソースの容量と誘導による信号結合効果を下げる必要性が存在する。
したがって、本発明は集積回路内のルーティングの容量性信号結合および/または誘導性信号結合によって引き起こされる信号結合効果を最小限にし、減少させる。本発明のこれらおよびその他の目的、および利点は、様々な図面に具体的に示される好ましい実施形態の詳細な説明を読んだ後に、当業者にとって間違いなく明らかになるであろう。
本発明は、集積回路デバイスのルーティングの容量性と誘導性の両方の信号結合効果を下げるための給電と接地のシールド・メッシュで構成される回路を開示する。このシールド・メッシュは、ICに設けられる通常の給電と接地のグリッドに追加して含まれる。シールド・メッシュの複数のユニットが、集積回路のルーティング・リソースを取り囲むように設置される。特に、本発明の一実施態様は、容量結合と誘導結合によって生成されるノイズを除去するために給電線と接地線の両方のシールド・メッシュのルーティングの方法を説明している。VDDとVSS(または接地)の線が交互に設けられ、信号のルーティング・リソースがその間に配置される。このシールド・メッシュは単層または多層であることが可能である。このシールド・メッシュは給電グリッドに追加して含まれ、給電グリッドに接続されてもよい。
ベリーディープ・サブミクロン(VDSM)技術はサイズを小さくし続けている(例えば0.18μM、0.15μM、0.13μM、0.11μMおよびそれ以下)ので、信号線は容量結合と誘導結合、および他の隣接する信号線からのノイズになおさら敏感になる。通常、信号のルーティング段階の間または給電グリッドのルーティング段階の間にルータによって生成される完全に接続された給電と接地のシールド・メッシュの間に比較的長い信号線がルーティングされる。一実施態様では、信号のルーティングのために奇数のトラックまたは偶数のトラックだけを残し、給電(VDD)メッシュと接地(VSS)メッシュがルーティングされ、短いセグメントを残して充分に相互接続され、それにより、回路デバイスのRCの影響を下げる。
本発明の他の実施態様は、グリッドレス式ルーティングのために給電と接地のメッシュを使用して信号がシールドされる場合の技術を説明している。本発明の他の実施態様は多層のグリッド式ルーティング技術を提示しており、そこでは信号は偶数グリッド上でルーティングされ、給電と接地線は奇数グリッド上でルーティングされる。本発明の同様の実施態様は、信号がNとN+1の層の間でルーティングされる場合の類似したグリッド式ルーティング技術を表わしている。その一方で、本発明の他の実施態様は左、右、上、下の対向する給電グリッドと接地グリッドによって信号がシールドされる。本発明の追加の実施態様もやはり標準的なセルで、および/またはゲートアレーのルーティング領域もしくは他のいかなる領域でも使用される、同様のメッシュの使用を含み、そこではいかなる他の信号線もシールドされ、それにより、給電線または接地線の実効抵抗またはRC成分を下げる。
さらに特定すると、本発明の実施態様はa)基板に配置された複数の信号線、b)基板上に配置され、かつ第1の厚さを有する複数の給電線と第1の厚さを有する複数の接地線を含む、基板の回路に給電と接地を供給するための給電グリッド、およびc)基板上に配置され、かつ第2の厚さを有する複数の給電線と第2の厚さを有する複数の接地線を含むシールド・メッシュを含む集積回路デバイスが設けられ、複数の信号線のうちのそれぞれの信号線はシールド・メッシュのそれぞれの給電線とシールド・メッシュのそれぞれの接地線の間に配置され、シールド・メッシュは複数の信号線のうちの近接信号線間の電子工学的クロストークの影響をを削減する。実施態様は以上を含み、シールド・メッシュの給電線と接地線は交互に配置され、基板の単一金属層の中で互いに平行である。
その他の実施態様は上述のような集積回路を含み、そこではシールド・メッシュの給電線と接地線が基板の第1の金属層内で第1の方向に互いに平行で交互に配置され、シールド・メッシュの給電線と接地線がやはり基板の第2の金属層内で第2の方向に互いに平行で交互に配置され、第2の金属層は第1の金属層の下にあり、第1と第2の方向は90度異なっている。
本発明は、範例の方式で、かつ限定しない方式で添付の図面類の図中に具体的に示されており、そこでは類似した参照番号は類似した素子に関する。
ここで本発明の実施形態、ASICチップのルーティングの容量性と誘導性の両方の信号結合効果を下げるためのシールド用の給電と接地のメッシュが詳細に参照され、それらの範例が添付の図面に具体的に示されている。本発明は好ましい実施形態と結びつけて説明されるが、それらが本発明をこれらの実施形態に限定するように意図されるものでないことは理解されるであろう。対照的に、本発明は代替選択肢、改造および同等のものを網羅することを意図され、それらは添付の特許請求項によって規定されるような本発明の精神と範囲の中に含まれる。さらに、以下の本発明の詳細説明では、本発明を充分に理解させるために多数の特定の詳細が述べられる。しかしながら、これらの特定の詳細を伴なわずに本発明が実現できることは当業者にとって明らかであろう。他の例では、不必要に本発明の態様を曖昧にしないために、よく知られている方法、手順、成分、回路は詳細に述べられていない。
本発明は、基板内部に配置された複数の所定の厚さの信号線を含む回路デバイスを説明する。信号線は、回路内で複数の信号線の近接信号線間のクロストークの効果を下げるのに使用されるシールド・メッシュとしても機能する基板の回路へ電力供給に加えられている。
図1の100に示したように、信号線110は隣接する信号線からノイズをシールドするために使用されるシールド線120と共にルーティングされる。前に検討したように、サブミクロン技術については、これら信号とシールド線は線の厚さ(例えば0.13μMの短さ)に対して比較的長く(最大100μM)、したがって所定の基板上の回路内で高い信号対ノイズ比またはクロストークにつながる可能性がある。
本発明の一実施形態200によると、図2は単一の信号線210を示している。信号線は交互にVDDとVSSの線が配置された三次元シールド・メッシュを使用することによってその両側がシールドされている。別の層上には、例えば金属4、交互に配置されたVDDとVSSの線が、前の層、例えば金属3に直角に(90度で)走り、ビア3によって形成される結節点230でさらに接続されて信号線220に対してVDDとVSSのシールド線の三次元シールド・メッシュを形成している。本発明の一実施形態によると、回路に給電し、接地するのに使用される給電グリッドに追加してシールド・メッシュがIC上に含まれることが理解されるであろう。他の実施形態、例えば0.25μM技術によると、VDDとVSS線の相対的セグメント距離(relative segment distance)を0.94μM程度に減少させることができる。VDDとVSSのセグメント距離を小さくすることはそれらの実効RC成分を下げ、それにより、ノイズの結合効果を低減させることができる。
本発明の他の実施形態300によると、図3はシールド・メッシュの平面的透視図を描いており、そこでは同じ厚さの信号線310と320が同じ層上でかつ同じ厚さの交互のVDDとVSS線の間に織り交ぜられteiる。したがって、図3は本発明のシールド・メッシュの単層の実施形態を例示している。本発明のシールド・メッシュの実施形態によると、図3はこれらのシールド線が比較的短いセグメント(segment)から成るという事実と結びついてシールド線を密に近接させたことによって、実効RCインピーダンスが下げられ、それにより、信号線310と320の間の信号結合がさらに下げられることが導き出されるという事実を具体的に示している。他の実施形態によると、図3はまた、信号線310と320が同じ厚さの交互のVDDとVSSのシールド・メッシュで分離できること、その際、使用される技術に応じてその厚さは0.25μMから0.13μMに、かつより小型化に向かうという工業的な傾向にしたがってさらに薄くすることができることを具体的に示している。
図4はシールド・メッシュの実施形態400の平面図であって、2つの隣接する基板層405Aと405Bを示し、グリッド配置を使用して信号線(410、420、430)、各層上の交互のVDDとVSSの線、2つの層の間の適切なビアを描いている。各々のビアは層の間の接続を行い、シールド・メッシュのセグメント・サイズを小さくし、それによって、本発明の一実施形態に従って実効R−C抵抗を下げることができる。層N、405A上で、もし信号線410、420、430が奇数グリッドのトラック上にあれば、VDDとVSSの線は偶数グリッドのトラック上にあり、その逆もありうることに留意することが大切である。同様に、層N+1、405B上で、もし信号線440、450、460が偶数グリッドのトラック上にあれば、VDDとVSSの線は偶数グリッドのトラック上に交互にあり、その逆もある。
垂直方向に対する、信号とシールドの多層ルーティング割り当てでは、上下の結合を回避するために信号トラックが直接他の信号トラックの上にならないようにトラックを割り当てるべきである。例えば、もし層N上の信号が奇数のトラック上にある場合、層Nと同じルーティング方向を有する層N+2上の信号を偶数のトラック上にルーティングする。この方策によって、信号は左右および上下の両方の給電/接地によってシールドされることになる。それにより、セグメント長さをさらに減少させ、かつ信号線間の実効分離度を増すことでノイズ結合を低減させることができる。
図5は本発明の他の実施形態500の垂直方向の断面図を示しており、それはシールド用グリッド・メッシュの三次元の態様を描いている。信号線、交互のVDD線・VSS線、奇数/偶数グリッドのトラック上の適切なビアとともにいくつかの層N〜N+7が示されている。図5に見られるように、断面切断線は、信号線(510、520)およびVDD/VSS線(530、540)を通っている。層N、層N+2、層N+4、層N+6上に示されるように、VDD線、信号線、VSS線もまた、図4で記述されたように奇数、偶数のトラック上に交互に配置される。したがって、図5のシールド・メッシュはグリッドの線整列である。本発明の他の実施形態は素子560A〜560Nで示されるようにビアを用いて隣り合う層を接続している。本発明の他の実施形態によると、この多層のシールド・メッシュは各々の成分の長さを減少させ、それにより、本発明の1つの実施形態に従って、結合効果をさらに低減させる。
図6は本発明の他の実施形態600を示しており、適切なビアを備えたグリッドレス・ルーティングのシールド・メッシュ内の信号線と交互のVDD線・VSS線を示す2つの隣接する層の平面図を描いている。図示したように、信号640は2つの別々の層上でルーティングされ、1つの層上で隣り合ったVDD(620ABB)とVSS(630ABB)を含むグリッドレスのメッシュによってシールドされている。同じ640信号線が、第1の層に対して90度に配列された他の隣接層上のVDD(620B)とVSS(630A)の線によってシールドされている。この例では信号線640は再び前の層上で、再び90度異なる方向にルーティングされ、VDD(620ABA)とVSS(630ABA)の線によってシールドされる。同様に、本発明の他の実施形態によると、信号線610A、610B、610Cも同様に両側(隣り合った)および垂直方向(上下の)でシールドを有するようにたどることが可能である。この多層のシールド・メッシュは各々の成分の長さを減少させ、それにより、本発明の1つの実施形態に従って、グリッドレス・ルーティング技術の中で結合効果をさらに低減させることができる。
本発明の他の実施形態700によると、図7はグリッドレスのシールド・メッシュの垂直方向断面図を示し、隣り合う層の間を接続するための適切なビアを備えた信号線と交互のVDD線・VSS線を示している。ビア710と720で示したように、セグメント・サイズの間の距離はグリッド幅によって束縛されない。このグリッドレスの例はまた、ビア730と740でも見られる。本発明の他の実施形態によると、グリッドのサイズに関係なく、可能な限り密に近接させて、異なるサイズのビアを使用することが可能である。密に近接したビアを使用すると、各々の信号、VDDまたはVSSのシールド・メッシュの相対的セグメント長さは小さくされる。本発明の他の実施形態によると、集積回路デバイスを主給電グリッドへ接続するための経路としてシールド・メッシュを使用することができる。図7に示したように、線740は2つの短いセグメント710、720を使用してVDDまたはVSSのいずれかのシールド・メッシュへとタップ引き出しするために接続され、それが今度は主給電グリッドへと接続される。
実施形態800によると、図8は基板上でシールド・メッシュ(820)と給電グリッド(810)を備えた集積回路を示している。シールド・メッシュはクロストークの容量性と誘導性の効果を低減させるために使用され、その一方で給電グリッドはIC回路に給電と接地を供給するために設けられる。給電グリッドの線は、信号線のサイズにサイズが設定されたシールド・メッシュのVSSとVDDの線よりもはるかに大きい。この実施形態によると、シールド・メッシュの線と真の給電グリッドの線の間のサイズの差異は因数2から因数10で異なっている。しかしながら、それらの比較的小さいセグメント長さのせいで、機能時のシールド・メッシュは接続される線の実効RC成分を低減させる。これが今度は他方でノイズと結合効果を低減させ、したがってシールド・メッシュはルーティング・リソースが使用されるいかなる基板領域にも配備されることができる。
図9は実施形態900を描いており、基板上のブロック間のルーティング・チャネル(910)内のシールド・メッシュ、また、基板のブロック(920)内のシールド・メッシュを描いている。図8で述べたように、シールド・メッシュの比較的小さいセグメント長さのせいで、シールド・メッシュはルーティングの線の実効RC成分をさらに減少させ、それにより、信号線間のクロストークによって引き起こされるノイズと結合効果を減少させる。
図10は、本発明のシールド・メッシュが導入されたEDAツールの処理のための工程のフローチャート1000を例示している。本発明のこの実施形態に示されるように、工程1010で初期コードがHDLを使用して書かれ、(例えば)その後に工程1020で論理合成が実行される。図示したように次に工程1030で給電グリッドの配置が実行される。例えば基板グリッドが使用される場合、本発明の一実施形態で概説したようなシールド・メッシュが図示したような工程点1040で導入される。この工程点の後に、ルータ1050が設計された回路をルーティングし、シールド・メッシュをそのパラメータ内で操作する。この工程点で、その設計はテープ上に置かれる(1060)。
しかしながら、基板グリッド上にシールド・メッシュを導入する必要がないことに留意することが大切である。前に述べたように、本発明の他の実施形態はシールド・メッシュが所定の基板上でグリッドレス設計に導入されている。グリッドレス・ルーティングに対して、ルータ(1050)は基板にシールド・メッシュを導入することが可能である。
この充分に接続された給電と接地のシールド・メッシュは、容量結合と誘導結合を除去することが重要であるときに使用される。このメッシュの主な供給源は主給電グリッドのトランクあるいは比較的ノイズの少ないシールド専用の独立した給電と接地のトラックから形成されるであろう。
シールド・メッシュはまた、標準的なセルもしくはゲートアレー領域、ルーティング・チャネルもしくはハードマクロの最上部のルーティング・チャネル、データ・バスのルーティング、制御バスのルーティング、アドレス・バスのルーティング、アナログ信号のルーティング、クロックやクロック・バスのルーティング、またはその他のいかなる信号線にも使用されることが可能である。
この充分に接続された給電と接地のシールド・メッシュを追加することで、自動化されたVDSMチップのルーティングは心配不要になり、殆どの予測不可能な結合誤りは事実上本発明によって根絶される可能性がある。
シールド線と信号線を示す平面図である。 本発明の一実施形態のシールド・メッシュによるグリッド配置を示す2つの層の平面図である。 シールド・メッシュの二次元平面を示す図であって、本発明の別の実施形態に従って、VDDとVSSの線の間の同じ厚さの信号線1と2が同じ層にある。 各々の層に信号線およびVDDとVSSの線を描画する2つの隣接する層、および2つの層の間の適切なビアを含むシールド・メッシュを示す平面図である。 信号線、VDDとVSSの線を備えたいくつかの層を有し、奇数/偶数グリッドのトラックにそれらの適切なビアを備えたシールド・メッシュの垂直方向の断面を示す図である。 グリッドレスのルーティング技術で信号、VDDおよびVSSの線を示し、それらの適切なビアを備えたシールド・メッシュの2つの隣接する層を示す平面図である。 シールド・メッシュのグリッドレス・ルーティングの実施形態の垂直方向断面を示す図であって、VDDとVSSの線を伴なった信号線およびそれらの適切なビアを示している。 本発明の別の実施形態による、前記基板上の給電グリッド内のシールド・メッシュを示す図である。 本発明の別の実施形態による、前記基板上のチャネル内および前記基板上のブロック内のシールド・メッシュを描く図である。 論理合成からプレーサ、ルータ、テープへの処理工程を描いたブロック図である。

Claims (20)

  1. a)基板に配置された複数の信号線と、
    b)前記基板上に配置され、かつ第1の厚さを有する複数の給電線と前記第1の厚さを有する複数の接地線を含む、前記基板の回路に給電と接地を供給するための給電グリッドと、
    c)前記基板上に配置され、かつ第2の厚さを有する複数の給電線と前記第2の厚さを有する複数の接地線を含むシールド・メッシュとを含み、前記複数の信号線のうちのそれぞれの信号線が前記シールド・メッシュのそれぞれの給電線と前記シールド・メッシュのそれぞれの接地線の間に配置され、前記シールド・メッシュが前記複数の信号線のうちの近接信号線間の電子工学的クロストークの影響を削減する集積回路デバイス。
  2. 前記第2の厚さが前記第1の厚さよりも薄い請求項1に記載の集積回路。
  3. 前記複数の信号線のうちの前記信号線が前記第2の厚さと同じ厚さである請求項2に記載の集積回路。
  4. 前記シールド・メッシュの前記給電線と接地線が交互に配置され、前記基板の単一の金属層において互いに平行である請求項1に記載の集積回路。
  5. 前記シールド・メッシュの前記給電線と接地線が基板のグリッドの線と位置合わせされる請求項3に記載の集積回路。
  6. 前記シールド・メッシュの前記給電線と接地線が前記基板の第1の金属層内で第1の方向に互いに平行で交互に配置され、前記シールド・メッシュの前記給電線と接地線がやはり前記基板の第2の金属層内で第2の方向に互いに平行で交互に配置され、前記第2の金属層が前記第1の金属層の下にあり、前記第1と第2の方向が90度異なっている請求項1に記載の集積回路。
  7. 前記電子工学的クロストークが容量結合と誘導結合を含む請求項1に記載の集積回路。
  8. a)基板内部に配置された複数の信号線と、
    b)前記基板上に配置され、かつ第1の厚さを有して第1の電圧レベルを供給するための複数の第1の線と前記第1の厚さを有して第2の電圧レベルを供給するための複数の第2の線を含み、前記基板の回路に電力を供給する給電グリッドと、
    c)前記基板上に配置され、かつ第2の厚さを有して前記第1の電圧レベルを供給する複数の第3の線と前記第2の厚さを有して前記第2の電圧レベルを供給する複数の第4の線を含むシールド・メッシュとを含み、前記複数の信号線のうちのそれぞれの信号線が前記シールド・メッシュのそれぞれの第3の線と前記シールド・メッシュのそれぞれの第4の線の間に配置され、前記シールド・メッシュが前記複数の信号線のうちの近接信号線間の電子工学的クロストークの影響を削減する集積回路デバイス。
  9. 前記第2の厚さが前記第1の厚さよりも小さい請求項8に記載の集積回路。
  10. 前記複数の信号線のうちの前記信号線が前記第2の厚さと同じ厚さである請求項9に記載の集積回路。
  11. 前記シールド・メッシュの前記第3と第4の線が交互に配置され、前記基板の単一の金属層の内部で互いに平行である請求項8に記載の集積回路。
  12. 前記シールド・メッシュの前記第3と第4の線が基板のグリッドの線と位置合わせされる請求項10に記載の集積回路。
  13. 前記シールド・メッシュの前記第3と第4の線が前記基板の第1の金属層内で第1の方向に互いに平行で交互に配置され、前記シールド・メッシュの前記第3と第4の線がやはり前記基板の第2の金属層内で第2の方向に互いに平行で交互に配置され、前記第2の金属層が前記第1の金属層の下にあり、前記第1と第2の方向が90度異なっている請求項8に記載の集積回路。
  14. 前記第1の金属層の第3の線と前記第2の金属層の第3の線が第1の接続を使用して一体に結合される請求項13に記載の集積回路。
  15. 前記第1の金属層の第4の線と前記第2の金属層の第4の線が第2の接続を使用して一体に結合される請求項14に記載の集積回路。
  16. 前記電子工学的クロストークが容量結合と誘導結合を含む請求項8に記載の集積回路。
  17. 前記シールド・メッシュが前記基板の利用可能面積の実質的に50%を消費する請求項8に記載の集積回路。
  18. a)基板内部に配置された複数の信号線と、
    b)前記基板上に配置され、かつ第1の厚さを有する複数の給電線と前記第1の厚さを有する複数の接地線を含む、前記基板の回路に給電と接地を供給するための給電グリッドと、
    c)前記基板上に配置され、かつ第2の厚さを有する複数の第1の線と前記第2の厚さを有する複数の第2の線を含むシールド・メッシュとを含み、前記複数の信号線のうちのそれぞれの信号線が前記シールド・メッシュのそれぞれの第1の線と前記シールド・メッシュのそれぞれの第2の線の間に配置され、前記シールド・メッシュが前記複数の信号線のうちの近接信号線間の電子工学的クロストークの影響を削減する集積回路デバイス。
  19. 前記シールド・メッシュの前記第1と第2の線が交互に配置され、前記基板の単一の金属層の内部で互いに平行である請求項18に記載の集積回路。
  20. 前記シールド・メッシュの前記第1と第2の線が前記基板の第1の金属層内で第1の方向に互いに平行で交互に配置され、前記シールド・メッシュの前記第1と第2の線がやはり前記基板の第2の金属層内で第2の方向に互いに平行で交互に配置され、前記第2の金属層が前記第1の金属層の下にあり、前記第1と第2の方向が90度間隔を置かれている請求項18に記載の集積回路。
JP2004500331A 2002-04-25 2002-07-29 集積回路デバイス内のルーティングの容量性と誘導性の両方の信号結合効果を除去するための給電および接地シールド・メッシュ Pending JP2005524231A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/132,996 US6734472B2 (en) 2002-04-25 2002-04-25 Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device
PCT/US2002/024267 WO2003092070A2 (en) 2002-04-25 2002-07-29 Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device

Publications (1)

Publication Number Publication Date
JP2005524231A true JP2005524231A (ja) 2005-08-11

Family

ID=29248890

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004500331A Pending JP2005524231A (ja) 2002-04-25 2002-07-29 集積回路デバイス内のルーティングの容量性と誘導性の両方の信号結合効果を除去するための給電および接地シールド・メッシュ

Country Status (7)

Country Link
US (5) US6734472B2 (ja)
EP (1) EP1497864B1 (ja)
JP (1) JP2005524231A (ja)
AU (1) AU2002326482A1 (ja)
DE (1) DE60227290D1 (ja)
TW (1) TWI285953B (ja)
WO (1) WO2003092070A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009054760A (ja) * 2007-08-27 2009-03-12 Nec Electronics Corp 半導体装置、配線設計方法、配線設計装置、及びプログラム
JP2012142434A (ja) * 2010-12-28 2012-07-26 Toshiba Corp 半導体集積回路の配線方法、半導体回路配線装置および半導体集積回路

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734472B2 (en) 2002-04-25 2004-05-11 Synplicity, Inc. Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device
US7739624B2 (en) * 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7943436B2 (en) 2002-07-29 2011-05-17 Synopsys, Inc. Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
US7197738B1 (en) * 2002-08-09 2007-03-27 Cadence Design Systems, Inc. Method and apparatus for routing
JP4195821B2 (ja) * 2003-02-26 2008-12-17 富士通マイクロエレクトロニクス株式会社 半導体集積回路の設計方法
TW594965B (en) * 2003-09-10 2004-06-21 Goyatek Technology Inc Power supply layout structure of integrated circuit
US20050076319A1 (en) * 2003-10-03 2005-04-07 Chow Shau-Lim Stan Pin assignment in block-based integrated circuit design methodologies
US7131096B1 (en) 2004-06-01 2006-10-31 Pulsic Limited Method of automatically routing nets according to current density rules
US7784010B1 (en) 2004-06-01 2010-08-24 Pulsic Limited Automatic routing system with variable width interconnect
US8095903B2 (en) * 2004-06-01 2012-01-10 Pulsic Limited Automatically routing nets with variable spacing
US7373628B1 (en) 2004-06-01 2008-05-13 Pulsic Limited Method of automatically routing nets using a Steiner tree
US7033883B2 (en) * 2004-06-04 2006-04-25 Faraday Technology Corp. Placement method for decoupling capacitors
US7257797B1 (en) 2004-06-07 2007-08-14 Pulsic Limited Method of automatic shape-based routing of interconnects in spines for integrated circuit design
CN101814458B (zh) * 2004-12-03 2012-05-30 罗姆股份有限公司 半导体装置
US7571408B1 (en) * 2005-03-09 2009-08-04 Cadence Design Systems, Inc. Methods and apparatus for diagonal route shielding
WO2007074402A2 (en) 2005-06-21 2007-07-05 Pulsic Limited High-speed shape-based router
US7603644B2 (en) 2005-06-24 2009-10-13 Pulsic Limited Integrated circuit routing and compaction
US7694258B1 (en) 2005-08-01 2010-04-06 Cadence Design Systems, Inc. Method and apparatus for inserting metal fill in an integrated circuit (“IC”) layout
US7363607B2 (en) * 2005-11-08 2008-04-22 Pulsic Limited Method of automatically routing nets according to parasitic constraint rules
JP2007250933A (ja) * 2006-03-17 2007-09-27 Matsushita Electric Ind Co Ltd 半導体集積回路およびそのレイアウト設計方法
EP2148236A4 (en) * 2007-05-18 2011-02-02 Sharp Kk DISPLAY DEVICE
JP5225985B2 (ja) 2007-05-18 2013-07-03 シャープ株式会社 表示装置
EP2148237B1 (en) * 2007-05-18 2013-05-15 Sharp Kabushiki Kaisha Display device
US7861204B2 (en) * 2007-12-20 2010-12-28 International Business Machines Corporation Structures including integrated circuits for reducing electromigration effect
US7870251B2 (en) * 2008-01-10 2011-01-11 At&T Intellectual Property I, L.P. Devices, methods, and computer program products for real-time resource capacity management
KR20090079428A (ko) * 2008-01-17 2009-07-22 삼성전자주식회사 파워 플레인 및 접지 플레인 노이즈를 감소시키는 구조를갖는 기판 및 이를 포함하는 전자 시스템
US8074195B2 (en) * 2008-06-27 2011-12-06 Freescale Semiconductor, Inc. System and method for evaluating a dynamic power consumption of a block
US7519927B1 (en) 2008-07-02 2009-04-14 International Business Machines Corporation Wiring methods to reduce metal variation effects on launch-capture clock pairs in order to minimize cycle-time overlap violations
KR101211683B1 (ko) * 2008-12-31 2012-12-12 에스케이하이닉스 주식회사 반도체 집적회로
US8011950B2 (en) 2009-02-18 2011-09-06 Cinch Connectors, Inc. Electrical connector
US8458636B1 (en) 2009-03-18 2013-06-04 Pulsic Limited Filling vacant areas of an integrated circuit design
US8288657B2 (en) * 2009-10-12 2012-10-16 International Business Machines Corporation Noise coupling reduction and impedance discontinuity control in high-speed ceramic modules
JP5486376B2 (ja) 2010-03-31 2014-05-07 ルネサスエレクトロニクス株式会社 半導体装置
US8803320B2 (en) * 2010-10-28 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8847393B2 (en) * 2011-02-28 2014-09-30 Freescale Semiconductor, Inc. Vias between conductive layers to improve reliability
JP2012222151A (ja) * 2011-04-08 2012-11-12 Panasonic Corp 半導体集積回路装置
US8356262B1 (en) * 2011-06-22 2013-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Cell architecture and method
TWI467742B (zh) * 2011-08-03 2015-01-01 矽品精密工業股份有限公司 具有屏蔽電磁干擾功能的層結構
US20130134553A1 (en) 2011-11-30 2013-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Interposer and semiconductor package with noise suppression features
US8607180B2 (en) 2012-05-09 2013-12-10 Lsi Corporation Multi-pass routing to reduce crosstalk
JP6384210B2 (ja) * 2014-09-02 2018-09-05 株式会社ソシオネクスト 半導体装置
DE112015007234T5 (de) * 2015-12-26 2018-10-04 Intel Corporation Vertikale masseebenenisolierung, masseleiter-koaxialisolierung und impedanzabstimmung von durch gehäusevorrichtungen geführten horizontalen datensignalübertragungsleitungen
US10304771B2 (en) 2017-03-10 2019-05-28 Micron Technology, Inc. Assemblies having shield lines of an upper wiring layer electrically coupled with shield lines of a lower wiring layer
WO2017200639A1 (en) * 2016-05-16 2017-11-23 Micron Technology, Inc. Assemblies having shield lines of an upper wiring level electrically coupled with shield lines of a lower wiring level
US9754872B1 (en) 2016-05-16 2017-09-05 Micron Technology, Inc. Assemblies having shield lines of an upper wiring level electrically coupled with shield lines of a lower wiring level
US9812396B1 (en) * 2016-06-07 2017-11-07 Globalfoundries Inc. Interconnect structure for semiconductor devices with multiple power rails and redundancy
US10672709B2 (en) * 2016-12-12 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd Power grid, IC and method for placing power grid
EP3526815B1 (en) * 2017-10-06 2021-02-24 Google LLC Signal routing in integrated circuit packaging
US10943045B2 (en) 2018-01-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including standard-cell-adapted power grid arrangement and method for generating layout diagram of same
CN109087922B (zh) * 2018-09-19 2020-09-29 合肥鑫晟光电科技有限公司 阵列基板及其制作方法、显示面板
US11071197B2 (en) 2018-09-21 2021-07-20 International Business Machines Corporation Multilayer ceramic electronic package with modulated mesh topology and alternating rods
US10652998B2 (en) 2018-09-21 2020-05-12 International Business Machines Corporation Multilayer ceramic electronic package with modulated mesh topology
CN113056184A (zh) * 2021-03-15 2021-06-29 上海应用技术大学 一种基于优化干扰信号的屏蔽网仿真设计方法及整体架构
CN116090401A (zh) * 2023-01-09 2023-05-09 上海为旌科技有限公司 标准单元、集成电路的设计方法、标准单元、集成电路

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2940593A1 (de) * 1979-10-06 1981-04-16 Ibm Deutschland Gmbh, 7000 Stuttgart Mehrlagen-modul mit konstantem wellenwiderstand
JPH01152642A (ja) 1987-12-09 1989-06-15 Nec Corp 半導体集積回路
JP2580301B2 (ja) 1988-12-27 1997-02-12 株式会社日立製作所 半導体集積回路装置
US5288949A (en) * 1992-02-03 1994-02-22 Ncr Corporation Connection system for integrated circuits which reduces cross-talk
US5410107A (en) * 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (fr) * 1993-03-11 1996-05-24 Toshiba Kk Structure de câblage multicouche.
US6353189B1 (en) * 1997-04-16 2002-03-05 Kabushiki Kaisha Toshiba Wiring board, wiring board fabrication method, and semiconductor package
JP4109340B2 (ja) 1997-12-26 2008-07-02 株式会社ルネサステクノロジ 半導体集積回路装置
TW462214B (en) 1999-07-14 2001-11-01 Mitac Int Corp A method and structure of four layer circuit board improving circuit performance and avoiding electromagnetic interference
JP3398693B2 (ja) * 1999-08-24 2003-04-21 エヌイーシーマイクロシステム株式会社 半導体記憶装置
JP2001127162A (ja) 1999-10-25 2001-05-11 Matsushita Electric Ind Co Ltd 半導体集積回路
JP4083977B2 (ja) * 2000-12-20 2008-04-30 富士通株式会社 半導体集積回路及び配線決定方法
US6426890B1 (en) 2001-01-26 2002-07-30 International Business Machines Corporation Shared ground SRAM cell
US6428945B1 (en) * 2001-02-13 2002-08-06 Au Optronics Corp. Method of forming barrier ribs used in a plasma display panel
US6650010B2 (en) * 2002-02-15 2003-11-18 International Business Machines Corporation Unique feature design enabling structural integrity for advanced low K semiconductor chips
US6734472B2 (en) 2002-04-25 2004-05-11 Synplicity, Inc. Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009054760A (ja) * 2007-08-27 2009-03-12 Nec Electronics Corp 半導体装置、配線設計方法、配線設計装置、及びプログラム
JP2012142434A (ja) * 2010-12-28 2012-07-26 Toshiba Corp 半導体集積回路の配線方法、半導体回路配線装置および半導体集積回路

Also Published As

Publication number Publication date
US6734472B2 (en) 2004-05-11
US7217887B2 (en) 2007-05-15
EP1497864B1 (en) 2008-06-25
US20040178424A1 (en) 2004-09-16
EP1497864A2 (en) 2005-01-19
WO2003092070A3 (en) 2004-06-17
AU2002326482A1 (en) 2003-11-10
US8692297B2 (en) 2014-04-08
US20090032846A1 (en) 2009-02-05
US7436008B2 (en) 2008-10-14
US20070120261A1 (en) 2007-05-31
US20030201472A1 (en) 2003-10-30
DE60227290D1 (de) 2008-08-07
TWI285953B (en) 2007-08-21
US7774186B2 (en) 2010-08-10
US20100301397A1 (en) 2010-12-02
WO2003092070A2 (en) 2003-11-06

Similar Documents

Publication Publication Date Title
JP2005524231A (ja) 集積回路デバイス内のルーティングの容量性と誘導性の両方の信号結合効果を除去するための給電および接地シールド・メッシュ
US11004780B2 (en) Hard macro having blockage sites, integrated circuit including same and method of routing through a hard macro
US7943436B2 (en) Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
US6218631B1 (en) Structure for reducing cross-talk in VLSI circuits and method of making same using filled channels to minimize cross-talk
US20060081984A1 (en) Power grid layout techniques on integrated circuits
JP2003506902A (ja) 集積回路の電源経路指定および接地経路指定
CN1525564A (zh) 电子电路装置
EP1421620B1 (en) Integrated circuit with signal lines and mesh layer
US20100233877A1 (en) Method of disposing dummy pattern
JP5065606B2 (ja) 半導体装置
US6961247B2 (en) Power grid and bump pattern with reduced inductance and resistance
US7689963B1 (en) Double diamond clock and power distribution
CN109786372A (zh) 集成电路
JP2014232855A (ja) 半導体装置
JP2003216675A (ja) 半導体集積回路のレイアウト設計方法
JP2004349681A (ja) 半導体装置およびその設計方法
JP2009135327A (ja) 配線配置方法および配線配置プログラム

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080909

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081209

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081216

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090107

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090818