JP2005501407A - 高速熱処理の急速雰囲気切り替えシステムおよびその方法 - Google Patents
高速熱処理の急速雰囲気切り替えシステムおよびその方法 Download PDFInfo
- Publication number
- JP2005501407A JP2005501407A JP2003522982A JP2003522982A JP2005501407A JP 2005501407 A JP2005501407 A JP 2005501407A JP 2003522982 A JP2003522982 A JP 2003522982A JP 2003522982 A JP2003522982 A JP 2003522982A JP 2005501407 A JP2005501407 A JP 2005501407A
- Authority
- JP
- Japan
- Prior art keywords
- workpiece
- gas
- heat treatment
- enclosure
- treatment apparatus
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000010438 heat treatment Methods 0.000 title claims abstract description 263
- 238000000034 method Methods 0.000 title claims abstract description 170
- 239000012298 atmosphere Substances 0.000 title abstract description 7
- 230000008569 process Effects 0.000 claims abstract description 126
- 238000012545 processing Methods 0.000 claims abstract description 39
- 238000010926 purge Methods 0.000 claims abstract description 31
- 230000007246 mechanism Effects 0.000 claims abstract description 16
- 239000007789 gas Substances 0.000 claims description 391
- 230000005855 radiation Effects 0.000 claims description 62
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 16
- 230000005284 excitation Effects 0.000 claims description 13
- 239000000463 material Substances 0.000 claims description 13
- 230000003287 optical effect Effects 0.000 claims description 11
- 239000010453 quartz Substances 0.000 claims description 9
- 238000000137 annealing Methods 0.000 claims description 8
- 238000005229 chemical vapour deposition Methods 0.000 claims description 8
- 150000002500 ions Chemical class 0.000 claims description 7
- 229910052751 metal Inorganic materials 0.000 claims description 7
- 239000002184 metal Substances 0.000 claims description 7
- 238000009792 diffusion process Methods 0.000 claims description 6
- 230000003647 oxidation Effects 0.000 claims description 6
- 238000007254 oxidation reaction Methods 0.000 claims description 6
- 230000015572 biosynthetic process Effects 0.000 claims description 4
- 239000000919 ceramic Substances 0.000 claims description 3
- 239000007943 implant Substances 0.000 claims description 3
- 238000002310 reflectometry Methods 0.000 claims description 3
- 229910052594 sapphire Inorganic materials 0.000 claims description 3
- 239000010980 sapphire Substances 0.000 claims description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 3
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 3
- 239000005380 borophosphosilicate glass Substances 0.000 claims description 2
- 239000000835 fiber Substances 0.000 claims description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 claims description 2
- 239000000203 mixture Substances 0.000 claims description 2
- 238000001289 rapid thermal chemical vapour deposition Methods 0.000 claims description 2
- 229910021332 silicide Inorganic materials 0.000 claims description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 2
- 238000013022 venting Methods 0.000 claims description 2
- 230000003213 activating effect Effects 0.000 claims 5
- 238000007599 discharging Methods 0.000 claims 1
- 238000009472 formulation Methods 0.000 claims 1
- 238000005121 nitriding Methods 0.000 claims 1
- 230000002000 scavenging effect Effects 0.000 description 29
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 21
- 238000005516 engineering process Methods 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 12
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 11
- 229910052757 nitrogen Inorganic materials 0.000 description 10
- 229910052786 argon Inorganic materials 0.000 description 9
- 229910052760 oxygen Inorganic materials 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 230000006870 function Effects 0.000 description 8
- 235000012239 silicon dioxide Nutrition 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 238000004151 rapid thermal annealing Methods 0.000 description 6
- 239000004065 semiconductor Substances 0.000 description 6
- 238000010521 absorption reaction Methods 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 229910052739 hydrogen Inorganic materials 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 231100000331 toxic Toxicity 0.000 description 5
- 230000002588 toxic effect Effects 0.000 description 5
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 4
- 238000009529 body temperature measurement Methods 0.000 description 4
- 229910001873 dinitrogen Inorganic materials 0.000 description 4
- 150000003254 radicals Chemical class 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 230000001590 oxidative effect Effects 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 230000005469 synchrotron radiation Effects 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 239000012300 argon atmosphere Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 239000002341 toxic gas Substances 0.000 description 2
- 238000002834 transmittance Methods 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- ODUCDPQEXGNKDN-UHFFFAOYSA-N Nitrogen oxide(NO) Natural products O=N ODUCDPQEXGNKDN-UHFFFAOYSA-N 0.000 description 1
- 229910008065 Si-SiO Inorganic materials 0.000 description 1
- 229910006405 Si—SiO Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 238000013329 compounding Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 230000009849 deactivation Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000004880 explosion Methods 0.000 description 1
- 239000002360 explosive Substances 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- 238000013021 overheating Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000012857 radioactive material Substances 0.000 description 1
- 238000001953 recrystallisation Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000000758 substrate Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 230000007723 transport mechanism Effects 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4557—Heated nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45587—Mechanical means for changing the gas flow
- C23C16/45591—Fixed means, e.g. wings, baffles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/14—Feed and outlet means for the gases; Modifying the flow of the reactive gases
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B31/00—Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
- C30B31/06—Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
- C30B31/16—Feed and outlet means for the gases; Modifying the flow of the gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Crystallography & Structural Chemistry (AREA)
- Toxicology (AREA)
- Health & Medical Sciences (AREA)
- Electromagnetism (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Furnace Details (AREA)
Abstract
【解決手段】本発明の実施の一形態では、熱処理システムは加熱チャンバを含んでいる。容積の小さいワークピースエンクロージャにはワークピースが配置される。例えば位置調整アセンブリの形状の移動機構が容積の小さいワークピースエンクロージャを支持して、加熱チャンバ内で容積の小さいワークピースエンクロージャとワークピースを移動させる。容積の小さいワークピースエンクロージャにより、プロセスガス(雰囲気ガス)ガスの使用総量が少なくなり、そのようなガスのパージ時間が減少する。加熱チャンバは、ワークピースを処理するための熱放射強度勾配と温度勾配の少なくとも一つを有することができる。加熱チャンバは、その周囲に一つまたはそれ以上の加熱要素を有することができる。
【選択図】図1
Description
【0001】
本発明は、ワークピースの熱処理システムとその方法に関し、特に,ワークピースの温度の調整システムとその方法、および、ワークピースの処理に必要なプロセスガスの総量も切り替えとパージにかかる時間も低減させるシステムとその方法に関するものである。
【背景技術】
【0002】
熱処理のための装置は,広く知られており、アニーリング、拡散、酸化、化学物質の蒸着などを含む各種熱処理方法に使用されてきた。熱処理装置を使用して製作された一般的なワークピースは、半導体ウエハである。これらの熱処理装置、特に処理による影響が、最終製品の品質と均一性を変動させることは、当業者であれば理解できるであろう。
【0003】
単一ウエハの高速熱処理(RTP)は、半導体ウエハを高温で半導体装置として所望の電気的な特性に達するまで物理的および化学的に処理を施す方法であることが知られている。RTP処理では、ウエハを加熱するために、典型的に2つの技術が使用される。第1の技術では、一定温度のホットウォール炉でウエハを加熱し、温度勾配あるいは熱放射勾配に基づいて機械的にウエハを移動させることによってウエハの温度制御を行う。第2の技術では、ウエハは、コールドウォールチャンバに覆われた白熱ランプまたはアークランプによって加熱されて、各ランプの光量を変化させることによってその温度を制御する。
【0004】
第2の技術で、ランプによるRTPシステムは、比較的低熱量による比較的速い立ち上がりおよび降下でウエハを加熱・冷却することができ、低い温度管理(積分(立上げ)温度という)のランプでウエハを処理することにより、ウエハ処理のための温度管理費(すなわち加熱時間全体にわたる総熱量)を低く抑えることができる。ランプによるRTPのウエハ内部の温度制御は、ウエハの一または幾つかの箇所で読み取った温度に応じて、複数のランプの(オンとオフの)素早い切り替えにより行われる。かかる処理では、光学と電気を複合したフィードバック制御システムを使用して、必要とされる温度を正確かつ均一に達成する必要がある。処理されるウエハは、温度が低い雰囲気では熱平衡状態にならない。ランダムなランプの出力制御のその都度変わる要因が組み合わされるため、ランプによるRTPでは温度の均一化と生産性の向上が困難である。しかしながら、通常ではウエハに沿って回転移動するのを除いて、RTPチャンバの内部でウエハが横方向に移動しないことから、ランプによるシステムは、ホットウォールベースのRTP炉(約100リットル)と比較して小さいチャンバ(約10リットル以下)で本質的に処理ができる。したがって、高速熱処理サイクル中にRTPシステムによってガスの素早い切り替えが実現できるため、ウエハ温度に合せて連続的に異なる雰囲気ガスに晒すことができる。しかしながら、公知のホットウォールRTPシステムは、急速にガスを切り替える利点を有していなかった。
【0005】
市販の入手可能なホットウォールRTP炉は、ハイ テンパラチャー エンジニアリング コーポレーション(High Temperature Engineering Corporation)の特許文献1に記載されており、さらに、イートン コーポレーション(Eaton Corporation)の特許文献2(SEO)に記載された、本来の放射率補正および閉ループ形の温度制御システムを付加することによって改良されきた。
【0006】
さらにホットウォールRTPシステムは、ホットウォールRTP炉の上部が常に加熱される一方で、下部が一定温度に維持されるように積極的に冷却される。雰囲気ガスは、炉の上部に絶えず導入されて、炉の下部から排出される。その結果、RTP炉の長手軸方向に沿って単一な温度勾配と熱放射勾配が存在する。温度プロフィールは、軸対称であり、かつ径方向の成分に対して確実にウエハを均一に加熱するように最適化する。温度勾配に沿ってウエハの位置を変化させることにより、ウエハの加熱が制御される。炉全体の隅々まで、および炉と雰囲気ガスとの間まで、温度を安定した状態で維持するので、ウエハとその炉の周囲との間における温度の平衡によってウエハの加熱が支配される。その結果、ホットウォールRTP炉は、温度の均一性、工程の再生化、およびコストの点で、ランプRTPシステムよりも優れた結果をもたらすが、温度管理費と処理量は同等の能力しかない。ホットウォールRTP炉システムは、インプラントアニールおよび活性化、シリサイド化、乾式および湿式のシリコン酸化物成長、拡散、および金属アニールの生産に幸運にも使用されてきた。
【0007】
しかしながら、ランプによるRTPシステムと比較して、ホットウォールRTPシステムは、内部容積が大きいままである。これは、100cmまでの範囲で移動させて炉の温度勾配を利用して温度の変化と制御をしなければならないからである。そのため、ウエハの移動範囲の大きさと対応して充分に炉が大きくなければならない。例えば、200mmと300mmの範囲でウエハを移動させるためには、それぞれの内容積はおよそ31リットルと71リットルであり、100cmの移動には典型的な炉で約100リットルの容積が必要となる。雰囲気ガスのRTPサイクルで複数の雰囲気ガスを連続して適用する必要がある工程で高速切り替えが必要な場合には、そのような高速切り替えは困難である。さらに、容積の大きなチャンバは、プロセスガスの消費量や、加熱炉の素材が外に拡散することによるウエハの汚染度、高温で中毒性、腐食性、可燃性、あるいは爆発性を有するプロセスガスの量による安全性のリスクが増大する傾向にある。
【0008】
RTP処理の初めからウエハへの温度勾配の上昇および降下の傾斜率を増大させて温度管理費を最小にする技術の開発に焦点が合せられてきた。さらに、ウエハの正確な温度測定および制御の開発に焦点が合せられてきた。急速アニーリング(RTA)ステップの温度管理は、欠陥アニーリング、再結晶、ドーパントによる活性化、および注入層の拡散を通じて、ソース/ドレイン結合の深さやCMOS素子の抵抗値を直接決定する。温度管理制御に加えて、高速ガス切り替え性能は、RTPプロセスで重要性を次第に増すことになっており、活力のある素子の基準化として、酸化シリコン(SiO2)誘電体層を、酸化シリコン、シリコンオキシトライド(SiOxNy)、窒化珪素(Si3N4)、さらに将来的には高K誘電体材料を包含する層状のゲート絶縁体層に置換することを必要とする。SiO2の成長のための2つのRTOプロセス(J.Nulman, J.P.Krusius and Rentln, Mat.Res, Soc. Symp.Proc., 52,341(1985)を参照)では、例えば、ウエハは、酸化雰囲気ガスによって設定された温度に加熱されて、酸化シリコンに成長すべく、さらに高い温度に加熱される。RTAステップでは、その後、酸化ガスからニトロゲンガスに切り替えられる。RTAステップでは、Si-SiO2インターフェイスの電気的的特質を改善する。
【0009】
他の例としては、本来のTRPマルチプロセッシングによる超薄型窒化物ゲート積層の形成(S. C. Song, B. Y. Kim, H. F. Luan and D. L. Kwong, M. Gardner, J. Fulford, D. Wristers, Gelpey and S. Marcus, Advances in rapid thermal processing, ECS Proceedings of the symposium, V99-100, p45(1999)を参照)では、(1)酸化窒素(NO)ガス中でのインターフェースの不活性化、(2)低圧でのシラン(SiH4)とアンモニア(NH3)を使用した窒化珪素(Si3N4)の急速加熱化学蒸着、(3)アンモニア中での窒化処理(nitridation)、および(4)亜酸化窒素(N2O)中でのアニールと名づけられた、異なる雰囲気ガスと異なる温度の4つの連続したステップを必要としている。そのため、容積が大きいRTPチャンバを必要とする2つの連続したRTPステップの間のパージ時間が長くかかることから、RTP処理量が減少する。
【0010】
【特許文献1】
米国特許第4857689号明細書
【特許文献2】
米国特許第6183127号明細書
【0011】
縦型処理炉は、一般に処理チューブがその炉内で垂直の向きに支持される。また、処理炉は、一般に処理チューブまたは加熱チャンバに対して投入および取出しするための搬送機構に搭載される専用のワークピースボートアセンブリを備えている。分割されたワークピースのハンドリングアセンブリは、ワークピースを記憶媒体からワークピースボートアセンブリに移動させる。ワークピースアセンブリには、一つまたはそれ以上のワークピースを収容することができる。ワークピースボートアセンブリは、単数または複数のワークピースを選択的に上昇させて加熱チャンバ内で位置調整し、最終的にワークピースがさらされる温度を部分的に調整する。
【発明の開示】
【発明が解決しようとする課題】
【0012】
複数のおよび/または有毒のプロセスガスを使用して繰り返しワークピースを処理しても、処理量を向上させることができる熱処理装置のための技術が必要とされている。本発明とその実施の形態における例は、この必要性を解決するために、なされたものである。また、本発明の解決策は、ウエハの周囲を取り囲むガスの容積を効果的に削減して急速ガス切り替えのためのガスパージ時間を短縮し、しかも、RTPシステムにおけるRTP処理能力を維持することを含む。
【課題を解決するための手段】
【0013】
ワークピースを処理するための熱処理装置は、本発明の一形態による加熱チャンバを含む。ワークピースを処理するためのエンクロージャの容積が小さくて済む。位置調整アセンブリにおける移動機構が、チャンバ内でワークピースと容積の小さなワークピースエンクロージャとを支持する。加熱チャンバは、ワークピースの加熱処理のための熱放射の強度勾配すなわち温度勾配を有していてもよい。加熱チャンバは、加熱チャンバに配置された単数または複数の加熱要素を有していてもよい。加熱チャンバは、ベルジャー型に形成することもできる。
【0014】
本発明の別の形態では、ガスの供給源を容積の小さなワークピースエンクロージャと接続して、容積の小さなワークピースエンクロージャ内部に一または複数のガスを導入することもできる。
【0015】
本発明のさらに別の形態では、ガスデフューザを容積の小さなワークピースエンクロージャに配置して少なくとも部分的にワークピースの温度を調整することもできる。ガスデフューザの表面は、熱放射を吸収せず且つワークピースの背面によって放出するような反射可能な表面であり、少なくとも部分的にワークピースの温度を調整することができるように構成することもできる。また、この反射表面は、ワークピースエンクロージャの素材によって吸収あるいは反射することによる熱放射を補うように構成することもできる。そして、反射表面は、均一または不均一に反射するように構成することもできる。ここで用いられる「ガスデフューザ」の用語は、加熱処理装置の構成要素であって、熱処理装置内でガスを拡散するように特に配設された、デフューザの内部または周囲を流れるガスを拡散させるもの、および/または、ガスを反射して熱放射あるいは放出するのに適したリフレクタを云う。ガスデフューザは、さらにその内部に形成された窓も含むこともできる。
【0016】
本発明のさらに別の形態では、パイロメータのようなリモートセンサを容積の小さいワークピースと対応して配置し、ガスバッファまたはガスデフューザの内部に形成された窓を利用してワークピースの温度を決定することができるようにすることもできる。このリモートセンサに替えて、サーモカップルのような接触温度センサを容積の小さいワークピースと対応して配置し、ワークピースの温度を決定することができるようにすることもできる。
【0017】
本発明のさらに他の形態では、ワークピースエンクロージャが熱処理装置のベース部分に下がっている場合には、少なくとも一つの支持構造が容積の小さいワークピースエンクロージャの第1部分に連結され、少なくとも一つの支持構造が容積の小さいワークピースエンクロージャの第1部分を支持している。容積の小さいワークピースエンクロージャの第2部分は、第1部分と最適に分離可能とされており、容積の小さいワークピースエンクロージャの内部に出入りできるようになっている。これに代って炉が横型である場合には、
第1部分を第2部分から分離させるのを補助するための構造物が、容積の小さいワークピースエンクロージャの第1部分に連結されて、容積の小さいワークピースエンクロージャの内部に出入りできるようなっている。
【0018】
本発明のさらに別の形態では、容積の小さいワークピースエンクロージャは、その内部壁に形成された少なくとも一つの開口を有しており、容積の小さいワークピースエンクロージャに供給されたガスが、その開口を介して最終的に排出できるようになっている。熱処理装置の加熱チャンバは、さらに、その加熱チャンバからガスを排出させるために配設された通気孔を含んでいる。
【0019】
本発明のさらに別の形態では、内部壁が容積の小さいワークピースエンクロージャを、第1のすなわち外部副構成要素と、第2のすなわち内部副構成要素と、に分離している。この内部壁は、一形態では、少なくとも一つの開口を有している。
【0020】
本発明のさらに別の形態では、ガスの排気は、容積の小さいワークピースエンクロージャと連通して、容積の小さいワークピースエンクロージャ内のガスを熱処理装置の外部に排気する。
【0021】
本発明のさらに別の形態では、加熱チャンバの容積の小さいワークピースエンクロージャに対する容積比は、おおよそ2倍よりも大きい。
【0022】
さらに本発明は、ワークピースの熱処理方法を提供する。この方法には、ワークピースを、加熱チャンバ内に設置することができる小さなエンクロージャに配置して加熱する工程を含む。加熱チャンバと容積の小さいワークピースエンクロージャは加熱されており、容積の小さいワークピースエンクロージャが熱処理装置の加熱チャンバ内に配置される。ワークピースは、加熱チャンバ内で熱処理される。
【0023】
本発明の方法では、さらに、ワークピースの加熱制御の必要性に応じて、熱処理装置の一つまたはそれ以上の位置に容積の小さいワークピースエンクロージャを位置調整するステップを含めることができる。
【0024】
本発明の方法では、さらに、容積の小さいワークピースエンクロージャにガスを供給して(ガスのシーケンスを含む)ワークピースと相互作用させて、少なくともワークピースの加熱を部分的に調整する。ガスは、容積の小さいワークピースエンクロージャに供給される前に予備加熱することができる。容積の小さいワークピースエンクロージャに入るときに、ガスをガスデフューザによって部分的に調整することができる。
【0025】
本発明のさらに別の、容積の小さいワークピースエンクロージャに入れたワークピースを熱処理する方法では、容積の小さいワークピースエンクロージャから熱処理装置内にガスを排出するステップを含む。さらに別の方法では、熱処理装置をガス抜きして容積の小さいワークピースエンクロージャから解放されたどのようなガスも、あるいは他のどのようなプロセスガスも排出することができる。本発明の方法は、これに替えて、容積の小さいワークピーエンクロージャから排気経路を通してガスを排気させるステップを含めることができる。排気経路は、熱処理装置の外に直接導くことができる。
【0026】
本発明の他の形態によれば、容積の小さいワークピースエンクロージャを用いた熱処理方法は、ガスを容積の小さいワークピースエンクロージャから加熱チャンバへ排気して希釈し、掃気し、あるいは容積の小さいワークピースエンクロージャからガスをパージするステップを含めることができる。
【0027】
本発明の他の形態によれば、容積の小さいワークピースエンクロージャを用いた熱処理方法は、プラズマと光子の励起装置を用いて、プロセスガスを容積の小さいワークピースエンクロージャに入る前の処理ガスを活性化するステップを含めることができる。
【0028】
本発明のさらに他の形態によれば、ワークピースを熱処理するための熱処理装置が提供される。熱処理装置は、少なくとも一つの熱放射の強度勾配および温度勾配を有する加熱チャンバを含んでいる。容積の小さいワークピースエンクロージャがワークピースの周囲に配置されており、位置調整アセンブリが容積の小さいワークピースエンクロージャを支持して容積の小さいワークピースエンクロージャとワークピースを加熱チャンバ内で所望の位置に移動させてワークピースを異なる温度レベルに晒す。熱処理装置は、乾式または湿式の急速熱酸化技術、急速熱窒化技術、インプラント拡散と珪化物配合のための急速アニール技術、急速熱BPSGリフロー処理技術、金属の存在においてSiの選択的酸化技術、急速加熱化学蒸着技術、低圧化学蒸着技術、MOCVD(金属有機化学蒸着技術)、リモートプラズマ化学蒸着技術、および多層膜誘電体ゲートスタック形成技術を行うことが可能である。
【発明を実施するための最良の形態】
【0029】
上述した本発明の特徴と利点、および他の利点と側面は、以下の記載と図面によってより理解されるであろう。
【0030】
本発明は、概略、ワークピースの熱処理システムとその方法に関する。本発明の熱処理システムとその方法は、特に、ワークピースの周囲を取り囲むガスを急速に切換えることができる熱処理に関する。本発明の実施の一形態では、石英の容積の小さいワークピースエンクロージャが石英製のエレベータチューブに取付けられる。処理ガスおよび他のガスは、エレベータチューブの内側部分から、ワークピースの下、ワークピースの頂部を横切って、そして容積の小さいワークピースエンクロージャの頂部すなわち蓋に設けられた単数または複数の孔を通って外部に流れる。ワークピースエンクロージャの容量が小さいために、必要なガスの量は少なくて済む。有毒の場合もあるプロセスガスは、容積が小さいワークピースエンクロージャからより大きな加熱チャンバに排出されて、単数または複数の(不活性あるいは非反応性ガスのような)掃気ガスの流れに希釈されてその殆どが熱処理装置の外部に抜き出される。分離した掃気ガスは加熱チャンバを通って、その外部に排出されたガスを取り除く。
【0031】
ここで、「小さい容積」とは、より大きな加熱チャンバの、容積の小さなワークピースのエンクロージャに対する容積比を2倍以上にするなどのように、エンクロージャの寸法を決めてその大きさに作ることを含む。例えば、加熱チャンバの容積が10リットルの場合には、容積の小さいワークピースエンクロージャの容積は、例えば0.5リットル、2リットルなど、5リットルまでとすることができ、加熱チャンバの容積が90リットルの場合には、容積の小さいワークピースエンクロージャの容積は、45リットルまでとすることができる。容積の小さいワークピースエンクロージャの容積は、ワークピースのサイズ、開口やエンクロージャ内に固定されるもののサイズ、ガスの流れを支持するために必要とされる容積などの要因に左右される。
【0032】
本発明の他の実施の形態では、容積の小さいワークピースエンクロージャ内の有毒ガスは、より大きな加熱チャンバへ排気されることはなく、その代わりに、容積の小さいワークピースエンクロージャから直接排気通路を通って熱処理システムの外に排気される。加熱チャンバ内で容積の小さなワークピースエンクロージャを位置調整するエンクロージャ位置調整アセンブリは、2つのチューブまたは経路を含んでおり、その一方はガス供給経路であり、他方はガス排気経路である。注入されたプロセスガスあるいは他のガスは、エレベータチューブを通って、容積の小さいワークピースエンクロージャの外側副区画室に流れる。ガスは、少なくとも一つ、好ましくは一連の開口を介して、容積の小さいワークピースエンクロージャの外側副区画室から内側副区画室内へ続いて通り、ガスの流れがワークピースを横切る。そして、ガスは、一つまたは複数の排気口を通って内側副区画室に到達し、エンクロージャ位置調整アセンブリを通って、熱処理装置の外に出る。
【0033】
この実施の形態においては、単一の半導体を一度に熱処理するために形成された熱処理装置について説明する。しかしながら、本発明は単一の半導体を単独で熱処理するこの実施の形態に限定されることなく、本発明の意図および範囲に対応して示されたこの実施の形態には、必要に応じて、特定で、所定の、そして熱処理の温度が制御可能な単数または複数のワークピースの熱処理が包含される。
【0034】
図1‐図6は、同様の部品には同じ符号を付したもので、本発明による熱処理装置の実施の一形態を現したものである。本発明では図に示した実施の形態に基づいて説明するが、多くの代りの形態を本発明では実施できることを理解すべきである。通常の知識を有する当業者であれば、寸法や形状、要素や材質のタイプなど、本発明の意図と範囲を守るものであれば、ここに記載された実施の形態のパラメータを変更して異なる方式も認識できるであろう。
【0035】
図1は、本発明に基づいた熱処理装置10の実施の一形態を示したものである。加熱エンベローブ13は、熱処理装置10の外部構造に形成されたもので、チャンバ壁12が収容されることによって加熱(すなわち処理)チャンバ11が形成されている。加熱エンベローブ13は、白熱ランプや、アークランプ、加熱コイルなどのような異なるタイプの加熱要素を複数収容することができる。また、加熱エンベローブ13は、異なる温度を有する幾つかの領域と積極的な冷却領域を維持することができる。
【0036】
チャンバ壁12は、熱放射を透過する素材(例えば石英)でランプベース加熱構造として、あるいは、熱放射が不透過の材質(例えばシリコンカーバイド)でホットウォールベース加熱構造として、作ることができる。チャンバ壁12は、釣鐘形(bell jar) 、円筒形、箱形、球形などのような他の異なる形状に形成することができる。チャンバ壁12は、ワークピースの垂直方向と水平方向を含むワークピースの移動方向によって確定される様々な方針をもって、加熱チャンバ11を形成する。
【0037】
加熱要素は、加熱チャンバ11の内側に直接配置することができる。加熱要素や断熱構造などの付加的な構造は、本発明の記述では簡略化と明確化のために省略する。熱処理装置10の加熱チャンバ11と加熱エンベローブ13の基本的な機能は、少なくとも一方向の温度勾配または熱放射勾配に限定された環境を与えることにある。加熱チャンバ11内の圧力は、真空技術と空気圧手段(例えば、真空ポンプ、流量コントローラ、圧力コントローラなど)により、ゼロから数気圧位まで操作される。熱処理装置は、例えば米国特許第4857689号明細書および米国特許第6183127号明細書に記載された高速熱処理炉を含んでおり、この内容は本発明に参考として組み入れられる。
【0038】
ガス投入口14は掃気ガスをその供給源16から加熱チャンバ11内に導入する。”掃気ガス”の用語は、ここでは当業者に一般的に理解されている用語として使用される。掃気ガスとしては、各種不活性ガス(例えばHeやArなど)、非反応性ガス(例えばN2)、およびその他のガス(例えばO2)などを含むことができる。ガス投入口14は、掃気ガスを加熱チャンバ11のベースに穿設されたリング状やそれに類する円環状のスリットを通って均一に拡がらせるよう設計されている。掃気ガスの流れAは、加熱チャンバ11内を上昇するが、ワークピースエンクロージャ32と位置調整アセンブリ22の空隙に残り、ワークピースエンクロージャ32の出口開口35から出てくるプロセスガスの流れFおよびGに溶け込んで希釈する。ここで、”プロセスガス”とは、熱処理装置10でワークピースに物理的に接触する一つまたはそれ以上のガスを意味するものとして使用することとする。プロセスガスには、プラズマ、電子保有ガス、イオン、原子、ラジカル、活性化された励起種など、が含まれる。
【0039】
排気用フード46は、排出ガスを、加熱チャンバ11内からガス排出管路48を通って熱処理装置10の外部へ矢印Eに示す方向に排出させる役割を果たす。ガス排出管路48は、幾つかの異なる種類のタイプのバキュームバルブとバキュームポンプ(図示していない)に接続して、加熱チャンバ11にゼロから数気圧までの範囲の圧力を作用させることもできる。圧力をコントロールするために、掃気ガス、プロセスガス、およびパージガスの流量は同時に調整され、加熱チャンバ11からのガス排出流量はコントロールされる。ここで”パージガス”とは、リモート温度センサあるいはワークピースの熱処理を妨げることなく、且つ、リモート温度センサの光の経路で他のガスの置換に使用されるガスを意味することとする。
【0040】
掃気ガスは、流量がプロセスガスの流量よりも充分に大きく、実際上加熱チャンバ11の全体にパージされる。掃気ガスの流れる方向は、掃気ガスを供給源16からガス排出管路48に供給して、ガス投入口14を通して排出させることにより、逆方向にすることができる。掃気ガスの流量は、加圧をゼロにして必要ならば低い圧力、すなわち吸引するよう設定することができる。
【0041】
エンクロージャ位置調整アセンブリ22は、加熱チャンバ11の底部に、加熱チャンバ11の上方に延びたり下方に縮んだりすることができるよう取付けられている。エンクロージャ位置調整アセンブリ22は、ガスベアリング25または弾性シール、ベローズ、差圧によるポンピング動作など、他の公知技術を介して加熱チャンバ11に連結することにより、加熱チャンバ11の気密性を確保することができる。エンクロージャ位置調整アセンブリ22は、図1および図2に示すように縦型熱処理装置の場合には、昇降形式とすることができる。位置調整アセンブリ22はまた、横型や他の形式の熱処理装置にも使用することができる。
【0042】
図1および図2に示された位置調整アセンブリ22は、2つの同軸チューブを含んでいる。内側チューブ29の内部キャビティ24は、内側チューブ29の下端に配設された温度センサを用いるために光の通路または電線を通すための通路となっている。チューブ29の内部キャビティ24は、パージガス供給源20からパージガス投入口18を介して光学的に不活性ガスの流れBをパージさせることができる。パージガスは、光学的に吸収する種類のプロセスガスによる高温測定の障害を減少させる重要な役割を果たす。温度測定にここで使用されている光の通路は、当業者であれば理解されるように、リモートセンサに向かってワークピスによって放射され、かつ、反射された熱放射の物理的な経路となっている。特に、この光の通路により、ワークピースによって放射された熱放射が、位置調整アセンブリ22を貫通して、リモート温度センサによって検出される。パージガスの流量は、ワークピース30の処理に及ぼす影響を小さくするために、プロセスガスの流量よりも充分に小さく設定することができる。
【0043】
内側チューブ29とエンクロージャ位置調整アセンブリ22の外部壁との間の空隙23は、ガス供給源26からのプロセスガスの通路が形成されている。プロセスガスは、位置調整アセンブリ22の空隙23に沿って容積の小さいワークピースエンクロージャ32に流れることができる。ガス供給源26は、一種類またはそれ以上の種類のガスを同時にまたは/および順次供給する。異なるプロセスガスのためにさらに複数のガス通路をエンクロージャの位置調整アセンブリ22に設けることができる。
【0044】
ガス供給源26から延びるプロセスガス投入口21に励起装置27を接続することによって、リモートプラズマを容積の小さいワークピースエンクロージャ32の処理を行う周囲内部として使用することができる。励起装置27は、マイクロ波(MW)キャビティ、高周波アンテナまたは電極、直流放電電極等のプラズマ発生装置とすることができる。
【0045】
異なる種類の励起装置27を採用することにより、電子、イオン、原子、ラジカル、および/または励起種を保有するガス基材を容積の小さいワークピースエンクロージャ32内部の処理を行う周囲の雰囲気ガスとして使用することができる。他の実施の形態では、励起装置27は、光励起電池(photo-energizing cell)として、ワークピースエンクロージャ32に入る前にプロセスガスをイオン化して分離することもできる。励起装置27の光子源としては、レーザビーム、シンクロトロン放射、ランプ(VUV、UV可視光、IR光)などとすることもできる。
【0046】
容積の小さいワークピースエンクロージャ32は、その位置調整アセンブリ22の上端に取り付けられている。容積の小さいワークピースエンクロージャ32は、ベース34から分離された蓋33を有している。蓋33は、図1に示すように、容積の小さいワークピースエンクロージャ32が加熱チャンバ11内で上昇位置にあるときにベース34上に載置される。
【0047】
蓋33とベース34との間の部分は、気密でなければならない。実際には、充分な耐流通性となっており、蓋33とベース34の部分の接触表面の間をガスが逃げたり置換する率を制限している。ベース34に対する蓋33のアライメントは、ベース33上で自己調整機構37を採用することによって補助される。他の構成は、当業者であれば理解できるように、同様の機能を有している。図示した実施の形態では、この容積の小さいワークピースエンクロージャ32は大きく移動され熱を放射して、石英や、サファイア、セラミックなど、単一または複数のタイプの高温素材を作ることができる。容積の小さいワークピースエンクロージャ32は、特に熱処理の条件や形成するワークピースのタイプに応じて、同様に熱放射に対して反射、半透過性、非透過性とすることができる。
【0048】
三脚のような支持装置36が、ワークピース30の荷積みおよび荷降しのために、蓋33からベース34の底下方に向かって延びている。エンクロージャ位置調整アセンブリ22が加熱チャンバ11の底に向かって十分に低いアイドル位置にあるとき、支持装置36は加熱チャンバ11の底に載置されて蓋33を支持する。エンクロージャ位置調整アセンブリ22がさらに下がると、蓋33とベース34は互いから離れる(図2)。その結果、ワークピース移送装置(図示していない)が加熱チャンバ11の下方部分のゲート31を通って容積の小さいワークピースエンクロージャ32の内部に出入りできる状態となる。
【0049】
支持装置36は、三脚の他に、例えばチャンバ底部近くにある垂直壁に延びるロッドに、あるいは、チャンバ壁12の底部から垂直に延びる単一またはそれ以上のロッドによって支持することができる。
容積の小さいワークピースエンクロージャ32の下部34は、エンクロージャ位置調整アセンブリ22と一緒に形成することができ、また、互いに接合することができるように分離して形成することもできる。
【0050】
容積の小さいワークピースエンクロージャ32の内部には、ガスデフューザ28を含めることができる。ガスデフューザ28には窓40を形成することができる。デフューザ28は、ベース34の上方で且つワークピース30の下方に配設することができる。ワークピース30は、ワークピースエンクロージャ32のベース34から延びる支持機構47に載置される。支持機構47は、ピンや、鋭利なエッジ、平面などの形状とすることができる。ワークピース30は、蓋33が自己支持されているとき、すなわち蓋33とベース34の間に空隙があるときに、投入または取出しをすることができる(図2)。
【0051】
ガスデフューザ28は、容積の小さいワークピースのエンクロージャ32内のガスの流れるパターンを、例えば、入ってくるガスの流れを転換したり分けることによって容積の小さいワークピースのエンクロージャ32の中心から端にガスが流れるように操作する。入ってくるガスの流れは、通常ではワークピース30やガスデフューザ28よりも温度が低い。ガスデフューザ28は、入ってくるガスまたはワークピース30と相互作用する前のガスを予備加熱することができる。ガスの予備加熱は、ガスデフューザ28の熱放射吸収力をコントロールすることによって良好に適合させることができる。ガスデフューザ28の熱放射吸収力が高い場合には、デフューザの平均温度が高くなり、入って来るガスの流れを効果的に予備加熱する。ガスデフューザ28を使用することにより、冷たいガスが流入することによるワークピース30の部分的な冷却が防止される。また、ガスの流れは、ワークピースエンクロージャ32へ入る前に、ワークピースエンクロージャ32の隅々に分散させることもできる。ガスデフューザ28はリング状などの形状とすることができる。熱処理装置10は、その処理やワークピース30によってはガスデフューザ28の使用を必要としない場合もある。
【0052】
ガスデフューザ28をさらにリフレクタとして機能させるために、ガスデフューザ28を熱放射リフレクタあるいは補正器として使用することができる。ガスデフューザ28は、ワークピース30によって放射されかつ反射された熱放射をワークピース30の方に戻して、蓋33とベース34の部分によって熱を吸収しかつ反射することによる熱放射の強度損失を補償することができる。熱放射の反射は、ガスデフューザ28の熱放射の放出と同様に、ワークピース30の温度を上昇させることができる。ガスデフューザ28はまた、ワークピース30の全体または一部分から熱放射を反射して、ワークピース30の温度コントロールと温度均一化を達成することができる。
【0053】
ガスデフューザ38は、調整仕上げされた石英(quartz with controlled finish)、クオーツファイバ、セラミック、炭化珪素、サファイアなど、高温素材の単一またはそれ以上のタイプにより構成することができる。容積の小さなワークピースのエンクロージャ32に流すガスのパターンをより調節するために、ガスデフューザ28は、その大きさ、形状、ワークピース30からの所定の距離を置く配置を様々に変更することができる。
【0054】
ガスデフューザ28は、均一な反射能力または可変反射能力を有する表面を含んでおり、ガスデフューザ28の配置の関数として、ワークピース30温度を均一に調整する。ある種の高速熱処理では、例えば、ウエハからウエハに±1℃(温度公差1σ)のような温度繰り返し精度で、あるいは、各ウエハの異なる部分の間で、例えば±1℃(1σ)のような温度に相対的に僅かに異ならせる必要がある。ガスデフューザ28の相対的なレベルの相違または変化は、ワークピース30の異なる部分に対する反射された熱放射の総量を決定するため、ワークピース30の均一な加熱の調整に採用することができる。
【0055】
ガスデフューザ28は、物理的に二つの本体とすることができる。第一の本体はワークピースエンクロージャ32内へのガスの流れパターンを調整するためのガスデフューザとして機能させ、第二の本体はワークピース30が受ける熱放射を調整するための熱放射リフレクタとして機能させることができる。例えば、熱放射リフレクタは、ベース34の底面に形成された反射面とすることができ、一方、デフューザは、熱放射に対して高透過性素材で構成することができる。一つ以上のガスデフューザと熱放射リフレクタは、ワークピースエンクロージャ32の周囲に配置することができる。リング43もまた、ワークピース30のエッジの周囲に配置して、ワークピース30のエッジを熱放射から選択的にシールし、また、エッジに沿って加熱するのを防止することができる。リング43は、蓋33の内壁から突き出た支持フィンガ45に取付けられる。あるいはまた、蓋33の所定の部分での光透過率は、ワークピース30のエッジが受ける熱放射の総量を選択的に低減させるようになっている。
【0056】
温度センサ44は、エンクロージャ位置調整アセンブリ22の下端であって、加熱チャンバ11の外部に配設されている。温度センサ44は、熱処理中のワークピース30の温度を測定する。温度センサ44は、例えば放射温度計など、非接触タイプのものとすることができる。放射温度計は光の経路を必要とするため、ガスデフューザ28と内側チューブ29の内部キャビティ24とに窓40が設けられている。放射温度計のセットアップと操作は充分に確立されているため、ここではこれ以上説明しないこととする。前述の米国特許第6183127号明細書に記載されたシステムがウエハの温度と熱放射の測定に適している。
【0057】
ある種のプロセスガスは、非接触タイプの温度センサの検出に依存している熱放射を不利益に吸収する。これに対しては、非吸収ガスを用いて矢印Bの方向にリモート温度センサの光学的な経路にパージすることで応対することができる。パージガス(例えばArやHe、N2)は、パージガス供給源20からパージガス投入口18と内側チューブ29の内部キャビティ24を通って導入することができる。プロセスガスとパージガスは、ガスデフューザ28にの下で融合する。光学経路のためのパージガスは、リモート温度センサを阻害することなく、且つ、ワークピースを化学的および物理的に変化させる原因とならないものであれば、如何なるガスでもよい。
【0058】
温度センサ44はまた、(例えば、サーモカップルの電圧を計測する)リモートセンサを備えた温度読取り装置など、接触タイプのものとすることもできる。リモートセンサは、ワークピースエンクロージャ30からエンクロージャ位置調整アセンブリ22を通って、温度読取り装置に至るように伸びる電線(図示していない)を通すための物理的な経路を必要とする。サーモカップルは、ワークピース30に接触させるか、またはワークピース30から所定距離離して、ワークピース30の温度を測定することができる。サーモカップルの構造および作用の詳細は、当業者に公知であるため、ここではこれ以上詳細に説明しないこととする。
【0059】
本発明では、プロセスガス供給源26からの単一のプロセスガスまたは一連の異なる複数のプロセスガスをプロセスガス位置口21に導入することができる。プロセスガスの流れは、プラズマ励起装置27によって励起されて、電磁界を用いたプラズマ、光子を用いたラジカル含有ガス、あるいは、電子保有ガス媒体、各種光源を使用したイオンおよびラジカルを発生させる。プロセスガス(またはプラズマ)の流れは、位置調整アセンブリ22の空所23内に送られて、ガスデフューザ28でパージガスの流れと混合されて、ガスデフューザ28と収容基のベース34との間で外向きに広がる。プロセスガスは、上昇してガスデフューザ28の周囲に流れ、ワークピース30の全体にわたってガス表面相互作用し、最終的に、開口35を通って容積の小さいワークピースエンクロージャ32から加熱チャンバ11内へと出ることとなる(矢印FおよびGの流れ)。プロセスガスの流量は、全体の温度を部分的に調整し、熱的な対流と伝導を通じてワークピース30の温度を均一化するのに用いることができる。
【0060】
ワークピースエンクロージャ32に対するプロセスガスの容積の制限と、掃気ガスの流れAに対する開口35を通るプロセスガスの希釈との組み合わせることは、有毒である可能性のあるガスでワークピース30を処理する場合に有効である。例えば、ある種のRTP処理には水素を必要とする。しかしながら、大きな加熱チャンバ11に多くの純粋なまたは高濃度の水素を収容して処理温度、例えば500℃〜1500℃に維持した場合には、爆発の危険性が極端に高くなる。ワークピースエンクロージャ32をが本発明に従って用いられる場合には、この熱処理装置10での水素の使用量は、容積の小さいエンクロージャを用いていない同様の熱処理システムと比較して、充分に少なくなる。特に、容積の小さいワークピースエンクロージャ32に対する加熱チャンバ11の内部容積の比が同等であることによって、水素などのプロセスガスの使用量が減少するが、ワークピース30が有毒なプロセスガスに晒される。ワークピースエンクロージャ32からの水素含有プロセスガスの流れの濃度は、掃気ガスの流れによって、例えば、現在の工業標準では安全な排気レベルである4%以下に、たやすく希釈される。
【0061】
掃気ガスは、例えばHe、Ne、Ar、Kr、Xe、N2や、これらを混合したものなどの不活性あるいは非反応性のガスとすることができる。掃気ガスはまた、O2などの反応性ガスとすることもできる。ここに記載した熱処理によれば、他の反応性または非反応性ガスを用いることができる。
【0062】
プロセスガスは掃気ガスと同じにすることができる。プロセスガスはさらに、H2、H2O、O2、O3、ハロゲンを含むガスまたは蒸気(例えば、F2、HF、CL2、Br2、HBr、I2)、ハロゲン間化合物分子(例えば、ICI、IBr)、窒素を含むガスまたは蒸気(例えば、NO、N2O、NH3)、Si、Ge、B、P、As、およびGaを含む化学蒸着前駆体、金属(例えば、Al、W、Cu、Ti、Co、Ta)含有ガスまたは蒸気、金属有機化学蒸着の前処理物質、および、ガス含有電子、イオン、原子、またはラジカルを含めることができる。
【0063】
プロセスガスもまた、プラズマ、電子、光子、放電、放射線などを使用した各種励起方法により作り出すことのできる電子、イオン、原子、あるいはラジカルを含んでいる。励起装置27としては、高周波(RF)アンテナ/電極、空洞共振器(micro-wave(MW) cavities)、放電極、紫外線光源、放射性素材、レーザビーム、シンクロトロン放射、およびランプ(VUV、可視UV、およびIRライト)などが、ワークピースエンクロージャ32のプロセスガス投入口21に組込むことができる。
【0064】
図3は、本発明を説明するために示した図1および図2における本発明の実施の形態を実行するための、フローチャートの一例を示したものである。熱処理装置10の容積の小さいワークピースエンクロージャ32の作用は、ワークピース30としてのSi[100]ウエハにSiO2を成長させるRTPプロセスの2つのステップで説明することができる。第1のステップでは、純粋な酸素の雰囲気下で1000℃に60秒間晒してSiO2薄膜を成長させる。第2のステップでは、SiO2薄膜を純粋なアルゴンの雰囲気下で1100度に30秒間晒して焼きなます。窒素は掃気ガスとして用いられる。全てのガスがリモート温度センサ44に干渉しないように用いられるので、エンクロージャ位置調整アセンブリ22のキャビティ24内にパージガスをパージする必要はない。各ステップの作用状態は、説明するためにのみ選択されたものであり、最良の電気的特性にシリコン酸化物フィルムを生産する方法を記載したものではない。
【0065】
前述のRTP処理の2つのステップを実行するために、加熱チャンバ11に加熱要素が展開されることによって加熱チャンバ11の内部に適当な熱放射と温度勾配が確立されて、窒素掃気ガス(例えば、50SLM、すなわち毎分あたりの標準リットル)がガス供給源16からガス投入口14に流される(ステップ400)。加熱チャンバ11は1気圧に維持されている。ここで用いられるガス(アルゴン、窒素、および酸素)は、非接触温度センサ44すなわち赤外高温計に干渉しないので、内側チューブ24を通るパージガスの流れを必要としない。プロセスガスの励起操作もまた、酸素とアルゴンがプロセスガスとして採用されているだけなので、この2つのステップRTP処理を必要としない。
【0066】
エンクロージャ位置調整アセンブリ22のアイドル位置は、ワークピース30の投入/取出し位置で、ワークピースエンクロージャ32のベース34が加熱チャンバ11の底部の近傍に位置する。位置調整アセンブリ22はワークピースエンクロージャ32をアイドル位置に下げる(ステップ402)。蓋33が支持装置36に支持されて、蓋33とベース34との間に空所が形成されて、Si[100]ウエハをワークピースエンクロージャ32の支持機構47上に載置する(ステップ406)。
【0067】
プロセスガス供給源26からの窒素の流れ(例えば10SLM)は、デフォルトプロセスガスとして、プロセスガス経路23を通り、間隙が開いたワークピースエンクロージャの蓋33とベース34の間の空間に導かれる(ステップ404、図2を参照)。デフォルトガスは、プロセスガスが必要でないときのほかは、ワークピース30の熱処理の周期前、熱処理の最中、および熱処理後に熱処理装置10を流通させることができる。Si[100]ウエハの形式のワークピース30は、ゲート31を通ってロボットアームによりピン47上に投入される(ステップ406)。位置調整アセンブリ22は、ベース34を持ち上げて蓋33に当接して上昇させ、容積の小さいワークピースエンクロージャを閉じる(ステップ408)。蓋33がベース34によって上昇された後には、デフォルト窒素ガスがガスデフューザ28とベース34との間、ガスデフューザ28およびSi[100]ウエハの周縁の周り、Si[100]ワークピース30の上表面を超え、ワークピースエンクロージャ32から開口35を経由して外に流れる。
【0068】
容積の小さいワークピースエンクロージャ32を用いることで、Si[100]ワークピース30の周囲を取り囲むプロセスガス(ガス雰囲気も意味する)の実効容積は、ワークピースエンクロージャ32の蓋33とベース34によって包含される内部容積に減少される。したがって、ワークピースの周囲を取り囲むプロセスガスの急速切換が実現される。
【0069】
これに加えて、ワークピースエンクロージャ32の開口35から排出されたガス(この実施の形態の場合、窒素、酸素とアルゴン)は、加熱チャンバ11内の窒素掃気ガスに希釈され混合される。混合されたガスの流れは、排気フード46に入り、ガス排気経路48を通って熱処理システム10から外に出る。
【0070】
RTO+RTA処理サイクルの2つのステップは、ホストコンピュータ(図示していない)の自動制御の下で開始する。位置調整アセンブリ22の高さH(mm)、ワークピース30(ウエハ)の温度T(℃)、およびプロセスガス投入口21に流れ込む窒素と酸素とアルゴンとの流量(SLM)など、処理パラメータは制御され、同調され、リアルタイムで記録される。ワークピース30が予め設定された温度(例えば、700℃)に予備加熱されると、プロセスガス供給源26はデフォルトの窒素ガスから例えば酸素など、適切な流量(例えば10SLM)の第1プロセスガスに切り替える(ステップ410)。加熱チャンバ11内におけるワークピースエンクロージャ32の位置がさらに上昇し調整されて、ワークピース30を所望の温度である1000℃に60秒間保持し(ステップ412)、急速熱酸化ステップと呼ばれるSi[100]ワークピースを酸化させる工程を行う。
【0071】
ワークピースエンクロージャ32はその後下降して、Si[100]ウエハを冷却する。その間に、プロセスガス供給源26は、酸素の流れを停止させて、第2プロセスガスとしてアルゴンガスを適当な流量(例えば10SLM)で投入し始める(ステップ414)。
【0072】
ワークピースエンクロージャ32は、再び上昇してSi[100]ワークピース30を1100℃に加熱する。位置調整アセンブリ22の位置は、アルゴン雰囲気下で急速熱アニール(RTA)すべく、Si[100]ウエハを1100℃で30秒間維持するよう調整される(ステップ416)。
【0073】
プロセスガスは、アルゴンガスの供給を終了すると共に、デフォルト窒素ガスを適当な流量(たとえば10SLM)で供給し始めるが(ステップ418)、ワークピースエンクロージャ32は下降している。エンクロージャの蓋33は、エンクロージャのベース34から分離して蓋とベースとの間に間隙が開いている(ステップ420)。位置調整アセンブリ22のアイドル位置においては、Si[100]ウエハはロボットアームによりゲート31を通って取り出され、2つの一貫したステップを包含する全体の熱処理サイクルが完了する。この処理は次のワークピースのために続けることができる(ステップ424)。
【0074】
もし、適合しないプロセスガスが一貫して使用された場合には、プロセスガスの、ワークピースエンクロージャから加熱チャンバへの排気は不確かなものとなる。例えば、超薄型窒化物ゲートスタックの形成では、酸化窒素(NO)が次のシラン/アンモニアステップの前に掃気ガスの流れによって加熱チャンバ11の外に完全に排出されることはないであろう。その結果、残留酸化窒素(NO)がアンモニア(NH3)と反応して容積の小さいワークピースエンクロージャ32から出て、加熱チャンバ11の内部で水蒸気(H2O)と窒素(N2)を形成することとなる。湿度の増加は、シリコンワークピースを酸化させて、投入と取出しの際に損傷させる原因となる。この問題は、2つの連続するステップ間に非反応性ガスを加熱チャンバ11とワークピースエンクロージャ32の双方にパージすることによって解決できるが、このパージは一定時間あたりの熱処理量を減少させる。
【0075】
図4、5、および6は、本発明の教示に従った異なる実施の形態を示したものである。これらの実施の形態では、ワークピースエンクロージャからの排出ガスは熱処理装置の外に直接流れる。
【0076】
図4は、本発明の教示に従った熱処理装置100の実施の形態を示したものである。加熱エンベロープ113は、熱処理装置100の外部構造に形成されて、チャンバ壁112によって形成された加熱チャンバ111を収容している。加熱エンベロープ113は、白熱ランプ、アークランプ、加熱コイルなど、幾つかの異なる加熱要素を含んでいる。加熱エンベロープ113はまた、異なる温度と積極的に冷却された領域の幾つかのゾーンを有している。
【0077】
ガスの投入口114は、ガス供給源116から加熱チャンバ111内に掃気ガスを導入する。ガスの投入口114は、加熱チャンバ11のベースを横切って均一に掃気ガスを拡散するように、板に開けられた環状の孔などや円状スリットなどに設計される。掃気の流れ「J」は、ワークピースエンクロージャ132と位置調整アセンブリ122の外側でなく、加熱チャンバ111の内部を上昇し、そして、排気フード146内に入る(流れQ)。
【0078】
排気フード146は、加熱チャンバ111からの排気あるいは排出ガスをガス排出経路148を通して熱処理装置100の外部へ矢印Rの方向に排出する。ガス排出経路148は、幾つかの異なる真空バルブと真空ポンプ(図示していない)に接続して、加熱チャンバ111の圧力をゼロから数気圧までの範囲に作用させることもできる。圧力を制御するために、加熱チャンバ111からガス排出量を制御する間は、掃気ガスとプロセスガスの流量は同時に調整される、
【0079】
掃気ガスの流れは、(排気ガスの処理を再形成しなければならない場合には)ガス供給源116からガス排出経路148に掃気ガスを供給して、ガス投入口114に排気ガスを通すことによって、逆流させることができる。開始するときに低圧または真空で必要があるために、掃気ガスの流量はゼロにセットすることができる。
【0080】
エンクロージャ位置調整アセンブリ122は、加熱チャンバ111の底を通して取付けられており、加熱チャンバ111内で上方に伸長すると共に下方に退縮することができる。エンクロージャ位置調整アセンブリ133は、エラスチックシール、ベローズ、など、ガスベアリング125や他の公知の技術によって加熱チャンバ111に接続することにより、加熱チャンバ111の気密性を確保することができる。エンクロージャの位置調整アセンブリ122は、図4に示すように縦型熱処理装置の場合には、エレベータ形式とすることができる。位置調整アセンブリ22はまた、横型や他の形式の熱処理装置にも使用することができる。
【0081】
図4に示された位置調整アセンブリ122は、位置調整アセンブリ122の下端に配設された温度センサ144のための光の通路または電線を通すための通路となる内部キャビティ124を含んでいる。位置調整アセンブリ122の内部キャビティ124は、位置調整アセンブリ122を通るプロセス排気通路としての役割を果たす。
【0082】
位置調整アセンブリ122の内部に配設された細いチューブ123は、プロセスガスを位置調整アセンブリ122に沿って容積の小さいワークピースエンクロージャ132内へと流すための通路としての役割を果たす。プロセスガスは、ガス供給源126からプロセスガス投入口121を通って導入される。ワークピースエンクロージャベース134の下方の細いチューブ166は、位置調整アセンブリ122の外部のプロセスガスをワークピースエンクロージャ132の蓋133とベース134との間の境界部に運ぶ。プロセスガスはその後、蓋133の底に開いた開口168を通って、蓋133の副区画室162に入る(流れS)。ガス供給源126は、同時にまたは/および引き続いて一種類またはそれ以上の種類のガスを供給する。異なるプロセスガスのためにさらに複数のガス通路をエンクロージャ位置調整アセンブリ122に設けることができる。
【0083】
ガス供給源26から延びるプロセスガス投入口121に接続された励起装置27を採用することによって、リモートプラズマを容積の小さいワークピースエンクロージャ132の処理を行う周囲内部として使用することができる。励起装置127は、マイクロ波(MW)キャビティ、高周波アンテナまたは電極、直流放電電極などとすることができる。励起装置127はまた、プロセスガスをワークピースエンクロージャ132に入る前にイオン化して引き離す光励起電池(photo-energizing cell)とすることもできる。光子供給源は、レーザビーム、シンクロトロン放射、ランプ(VUV、UV可視光、IR光)などとすることもできる。
【0084】
容積の小さいワークピースエンクロージャ132は、位置調整アセンブリ122の上端に取り付けられている。容積の小さいワークピースエンクロージャ132は、ベース134から分離された蓋133を含んでいる。蓋133は、図4に示したように、加熱チャンバ111内で容積の小さいワークピースエンクロージャ132が上昇した位置にあるときに、ベース134上に載置される。
【0085】
蓋133は、外側壁160と内側壁161を含む二重構造を有しており、蓋133の底部で接合されて第1副区画室162を蓋133内に形成している。蓋133には少なくとも一つの開口168が、ベース134のプロセスガスの細いチューブ166と整合するように配設されている。内側壁161は、第1(外側)副区画室162内のプロセスガスが蓋133の内側壁16とベース134とによって形成される第2(内側)副区画室164に伝播するのを許容する複数の開口135を有している。
【0086】
第1(外側)副区画室162は、流入してくるプロセスガスの流れSを、シャワーヘッド135を通してプロセスガスが第2(内側)副区画室164に入ってワークピース130に作用する前に、予備加熱する。プロセスガスの予備加熱は、蓋133の様々な部分で熱吸収力を制御することにより、適切に調整することができる。
【0087】
蓋133とベース134との間のシール構造は、気密にすることができる。実際には、ガスが充分に流れるのに抗して蓋133とベース134との接触表面の間のギャップを通って逃げたり交換されるガスの割合を制限するに足りる気密性となっている。ベース133に自己調整機構137、または同様の機能を採用することにより、ベース133に対して蓋133が確実に整合される。
【0088】
ワークピース130の投入と取出しのために、三脚のような支持装置136がベース134の底のから下方に伸ばされている。エンクロージャ位置調整アセンブリ122が加熱チャンバ112のベースに向かって充分に降下しているとき、支持装置136は加熱チャンバ112の底部上に位置して蓋133を支持する。エンクロージャ位置調整アセンブリ122がさらに降下すると、蓋133とベース134は互いに離れる。その結果、ワークピース搬送装置(図示していない)がチャンバ112の下方部分のゲート131を通って容積の小さいワークピースエンクロージャ132の内部に近づくことが可能となる。
【0089】
容積の小さいワークピースエンクロージャ132の第2(内側)副区画室には、ガスデフューザ128を含むことができる。ガスデフューザ128には窓148を設けることができる。ガスデフューザ128は、ベース134の上方で且つワークピース130の下方に配設される。ワークピース130は、半導体ウエハやその他のワークピースタイプとすることができる。ワークピース130はワークピースエンクロージャ132のベース134の支持機構147に載置されるのであるが、この支持機構147はピン、鋭利なエッジ、平面などとすることができる。蓋133が自己支持されると共に、ベース134がアイドル位置にあり、蓋133とベース134のとの間の間隙が開いているときには、ワークピース130を容積の小さいワークピースエンクロージャ132内に配置し、外部へ取り出すことが可能となる。
【0090】
ガスデフューザ128は、容積の小さいワークピースエンクロージャ132の内部のガスが流れるパターンを、例えば、プロセスガスの流れUを、第2(内部)副区画室164の周囲から中心に向かって集め、位置調整アセンブリ122の内部キャビティ124内へと流れるよう、操作する(流れV)。
【0091】
ガスデフューザ128は、熱放射のリフレクタまたは補償器としての役割を果たす。ガスデフューザ128は、ワークピース130から放射され反射された熱放射を反射してワークピース130に戻すことにより、蓋133とベース134による吸収と反射による熱放射度の損失を補償する。ガスデフューザ128の反射する性質は、ワークピース130の温度を上昇させることができる。ガスデフューザ128はまた、ワークピース全体または一部分から離れる熱放射を反射して、所定の温度制御とワークピース130の温度均一化を達成する。
【0092】
ガスデフューザ128は、均一に反射する単一表面またはその配置の機能によって異なるように反射する表面を含んでおり、ワークピース130の温度を適切に均一に調整する。ガスデフューザ128の異なるまたは変化する反射レベルは、ワークピース130の異なる部分に向かって反射される熱放射の総量を決定し、ワークピース130の均一な加熱を達成する。
【0093】
ガスデフューザ128は、先の実施の形態で述べたように、物理的に2つの実体に分けられる。第1は、ワークピースエンクロージャ内のガスの流れを操作するためのガスデフューザとして役割を果たすものである。第2は、ワークピース130が受ける熱放射を調整するための熱放射リフレクタとして役割を果たすものである。さらに、熱放射リフレクタは、ベース134の底表面の上に反射表面を形成することができるが、デフューザは、熱放射に対して高い透過性の材料で作られる。ガスデフューザと熱放射リフレクタは、一つ以上をワークピースエンクロージャ132のあたりに配置することができる。
【0094】
ワークピース130の周縁の周りにリング(図示はしていない)を配置して、選択的に周縁を熱放射からシールドして周縁に沿って過熱するのを防止することもできる。リングは、蓋133の内壁161から突き出た支持フィンガに取付けることができる。これに代えて、蓋133の所定部分の光の透過率は、ワークピース130の周縁が受ける熱放射の総量を選択的に減少させることができる。
【0095】
温度センサ144は、エンクロージャ位置調整アセンブリ122の下端であって加熱チャンバ111の外部に配置されている。温度センサ144は、熱処理する間のワークピース130の温度を測定する。温度センサ144は、例えば放射温度計など、非接触タイプのものとすることができ、光の経路を必要とするため、ガスデフューザ128の窓140と位置調整チューブ122の内側キャビティ124とを備えている。
【0096】
温度センサ144はまた、例えば温度読取り装置を有するリモートセンサや電圧測定装置を有するサーモカップルなど、接触タイプのものとすることができる。リモートセンサやサーモカップルは、先の実施の形態で述べたように、ワークピースエンクロージャ130からエンクロージャ位置調整アセンブリ122を通って温度読取り装置または電圧測定装置まで延びる電線(図示していない)を通すための物理的な通路を必要とする。リモートセンサまたはサーモカップルは、ワークピース130に接触するか、またはワークピース130から所定の距離をおいて、ワークピース130の温度を測定する。
【0097】
本発明の教示によれば、単独のプロセスガスまたは連続して異なるプロセスガスをプロセスガス供給源126からプロセスガス投入口121に導入することができる。プロセスガスの流れは、励起装置127によって励起されて、電磁界を用いたプラズマや、電子保有ガス媒体、様々な光子を用いたイオンおよびラジカルを発生させることができる。プロセスガス(またはプラズマ)の流れは、ガス供給経路123と細いチューブ166に沿って蓋133とベース134との間へと送られる。プロセスガスは、開口168を通って第1(外側)副区画室162に入る(流れS)。
【0098】
プロセスガスは、第1(外側)副区画室162内で予備加熱されて、シャワーヘッド型の複数の開口135を経由して、第2(内側)副区画室164に入る。プロセスガスの流れは、ワークピース130の表面上に広がって相互作用し(流れT)、下方に流れてガスデフューザ128の周囲に流れる(流れU)。プロセスガスは、ガスデフューザ128とベース134との間の空所を通って、位置調整アセンブリ128の内側キャビティ124に流れる(流れV)。プロセスガスは、位置調整アセンブリ122を通り(流れW)、プロセスガス出口170を経由して完全に加熱チャンバ111の外に出る(流れXとY)。プロセスガスの流量は、全体の温度を部分的に調整し、対流と伝導を通じてワークピース130の温度を均一化するのに用いることができる。
【0099】
図4に示した実施の形態では、プロセスガスが流れる経路(プロセスガスの投入口121、細いチューブ166へのガス供給経路123、および流れS、T、U、V、XからY)は掃気ガスの流れ(流れJ、Q、およびr)から完全に分離されている。加熱チャンバ11内にプロセスガスを排出する先の実施の形態(図1および図2)とは異なり、この実施の形態ではプロセスガスは加熱チャンバ111の外に直接排出される。これにより、RTP処理サイクルの複数のステップを行うときに、両立しないプロセスガスを連続して使用することができる。
【0100】
位置調整アセンブリ122の内側キャビティ124内で矢印V、W、およびXの方向に流れるプロセスガスは、リモート温度センサ144の光の経路と部分的に重なっている。リモート温度センサ144が用いる熱放射の波長を多くのプロセスガスが吸収すると、ワークピース130の温度測定が阻害されることとなる。この問題は、図5に本発明のさらに別の実施の形態に示すように、リモート温度センサの光の経路をガスの経路から分離することによって解決することができる。
【0101】
図5を参照すると、ワークピースのエンクロージャ232の蓋233は、先の実施の形態におけるワークピースのエンクロージャ132の蓋133と同様となっている。プロセスガスの流れは、蓋233の底部の開口268を通って第1(外側)副区画室262(外側壁260と内側壁231とによって形成される)に導入される。ベース234のプロセスガスの投入口は、蓋233の底の開口268と整合する。プロセスガス投入口経路は、投入口チューブ223とブリッジチューブ266とにより構成されている。支持機構236もまた、ベース234から蓋223を容易に分離してワークピース230の投入と取出しのために、設けられている。
【0102】
ワークピースエンクロージャ232のベース234は、先の実施の形態におけるワークピースエンクロージャ132のベース134と異なっている。ワークピース230はそれ自体が第2(内側)服区画室264を形成する壁部を形成している。換言すれば、第2(内側)服区画室264は、蓋233の内側壁261、ベース234、およびワークピース230によって形成される。垂直で円形の壁246は、ワークピース230を支持しており、流れに抗して第2(内側)服区画室264と加熱チャンバ111との間のガス交換を充分に制限する。自己調整機構237は、エンクロージャ232の2つの部分が合さったときに、蓋233とベース234とを確実に整合させる。
【0103】
プロセスガスは、第1(外側)副区画室262からシャワーヘッドに形成された開口235を経由して第2(内側)副区画室264に入る。エッジリング243はワークピース230の周囲に取付けられる。エッジリング243、垂直で円形の壁246、およびベース234の底は、ワークピース230の上表面と相互作用した後のプロセスガスを受ける環状のトンネルを形成する。プロセスガスは、第2(内側)副区画室264の一つまたはそれ以上の開口254から出て、一つまたはそれ以上の排気チューブ256に伝わる。
【0104】
ワークピース230を壁部として内側副区画室264に用いたことに関連して、リフレクタ228(すなわちガスデフューザ)と光の窓240が内側副区画室264の外側と位置調整アセンブリ222の頂上に設けられる。投入口チューブ223とガス出口チューブ258の垂直セグメントは、位置調整アセンブリ222の内側に配置される。投入口チューブ223とガス出口チューブ258は、エンクロージャ位置調整アセンブリ222に接続したり、あるいは合体させることができる。光の経路のためのパージガスは、位置調整アセンブリ222の内側キャビティ224の軸に沿って光学窓240に向かって上向きに流れる。多少のパージガスは、光学窓240とリフレクタ228との間を通って、ワークピース230とリフレクタ228との間の領域をパージする。この形状は、ワークピース230の底面を検知する非接触温度センサの光学経路に沿って流れるプロセスガスの量を減少させる。
【0105】
図4と5における2つのワークピースエンクロージャ132と232の蓋133と233にそれぞれ二重壁構造を採用したことにより、壁素材の追加層から熱放射伝達をさらに縮小させることができるので、ワークピースに達成し得る最高温度を制限する場合がある。図6はワークピースエンクロージャ332のさらに別の実施の形態を示したもので、単一の壁を備えてなる蓋333と処理(内側)副区画室364の外のリフレクタ328とを有している。プロセスガスは、位置調整アセンブリ322の内部に収容されたガス投入口中部323の垂直セグメント内を上昇する。位置調整アセンブリ322内のプロセスガスは、水平架橋チューブ366を通って、エンクロージャベース334の環状ガストンネル368に入る。環状トンネル368は、ワークピース330の周囲にプロセスガスを均等に配分する。プロセスガスは、ベースプレート334に形成された一つまたはそれ以上の開口または狭いスリット370を通って、処理(内側)副区画室364に入る。
【0106】
先の実施の形態と同様に、エンクロージャの蓋333、ベース334、およびワークピース330が処理(内側)副区画室364を形成する。ワークピース330は、垂直環状壁346によってその周囲に沿って支持されて、収容スペース364とワークピースエンクロージャ322がある加熱チャンバとの間のガス交換に対する充分な流れ抵抗を形成する。プロセスガスは、エッジリング343を超えて流れてワークピースの中心に向かい、ワークピース330の上表面と相互作用する。
【0107】
プロセスガスは、U型の細い排気チューブ357のフード376に集められる。フード376は、ワークピース330の中心の上方で且つ蓋333の直ぐ下に配置される。U型の細い排気チューブ357は、ベース334を通して溶接することができる。ガスは、フード376を通って排気されて位置調整アセンブリ322内に収容された排気チューブ357に入る。プロセスガスは、位置調整アセンブリ322を通って流れて、エンクロージャ位置調整アセンブリ322を経由して全体のシステムから出る。
【0108】
プロセスガスが非接触温度センサの邪魔になるのを防ぐため、位置調整アセンブリ222の内側キャビティ324を通る光学経路をパージするのに非吸収パージガスの流れが使用される。U型の細い排気チューブ357の直径は所望の容積とガスの流量のためにできる限り小さく形成されており、また、ワークピース330の均一な加熱に及ぼす影響を最少にするためにその高さをワークピース330の上方に十分高く位置されている。光学窓340は、先に述べたようにワークピース330の温度を読み取るための光学経路となっている。
【0109】
また、容易に蓋333をベース334から離してワークピース330の投入と取出しを容易に行うために、支持機構336が設けられている。エンクロージャ332の2つの部分が合致したときに、自己調整機構337が蓋333とベース334との間の調整を推進する。
【0110】
加熱チャンバの容積に対してワークピースのエンクロージャの容積が小さいため、ワークピースの周囲を流れるプロセスガスの量が減少する。そのため、ワークピースを取り囲むプロセスガスのパージおよび切り替えは、容積の小さいワークピースのエンクロージャを使用しない場合と比較してより素早く(例えば数秒以下)行うことができる。時間あたりの熱処理量が劇的に進歩する。容積の小さいワークピースのエンクロージャは、熱処理システムの内部に含まれる有毒のプロセスガスをかなり減少させ、安全性に対するリスクを大きく減少させる。容積の小さいエンクロージャを使用することによって、ワークピースの処理温度プロフィールに同調した複数のプロセスガスに伴ってワークピースの熱処理のために複数のステップを提供する一方で、現在の熱処理システムの性能の有利性が保たれる。
【0111】
当業者にとっては、先に述べた事項から多くの変更や代りの実施の形態が明白となるであろう。さらに、ここで述べた事柄は、実施の形態を説明したものにすぎず、本発明を実施するための最良の形態を当業者に教示するためのものである。構成の細部については、本発明の意図から外れることがなければ様々に変化させることができ、添付の特許請求の範囲に含まれる全ての変更の使用を除いたものである。本発明は、添付の特許請求の範囲と利用可能な法のルールに必要な範囲のみに限定される。
【図面の簡単な説明】
【0112】
【図1】本発明による容積の小さいワークピースエンクロージャを採用した熱処理装置を示した概略図である。
【図2】図1に示した熱処理装置のアイドル位置にあるときの本発明の一形態を示す概略図である。
【図3】本発明によるワークピースの投入、処理、取出しを示したフローチャートである。
【図4】本発明による熱処理装置の他の実施の形態を示した概略図である。
【図5】本発明による熱処理装置の、さらに他の実施の形態を示した概略図である。
【図6】本発明による熱処理装置の、その上さらに他の実施の形態を示した概略図である。
Claims (56)
- ワークピースを処理する熱処理装置であって、
加熱チャンバと、
前記ワークピースが配置される容積の小さいワークピースエンクロージャと、
前記容積の小さいワークピースエンクロージャを支持して、前記加熱チャンバ内で前記容積の小さいワークピースエンクロージャと前記ワークピースを移動させるための位置調整アセンブリと、を有する熱処理装置。 - 前記加熱チャンバは、ワークピースを熱処理するために、熱放射強度勾配と温度勾配の少なくとも一方を有する請求項1に記載の熱処理装置。
- さらに、前記加熱チャンバの周りに配置された加熱要素を含んでいる請求項1に記載の熱処理装置。
- 前記加熱チャンバは、ベルジャー形式の加熱炉を含んでいる請求項1に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャに接続されて、一種類またはそれ以上のガスを前記ワークピースエンクロージャに供給するガス供給源をさらに備えた請求項1に記載の熱処理装置。
- 前記ガス供給源と前記ガスを予備加熱する加熱機構をさらに備えた請求項5に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャ内に配置されて、前記ワークピースエンクロージャ内の前記ガスの流れを調整するガスデフューザをさらに備えた請求項5に記載の熱処理装置。
- 前記デフューザは、前記ワークピースの温度を少なくとも部分的に調整する請求項7に記載の熱処理装置。
- 前記ガスデフューザは、石英、水晶ファイバ、セラミック、炭化珪素、サファイアのうちの一つにより形成された請求項7に記載の熱処理装置。
- 前記ガスデフューザは、前記ワークピースによって吸収されない熱放射を反射する反射表面を有し、前記ワークピースの温度を少なくとも部分的に調整する請求項7に記載の熱処理装置。
- 前記反射表面は、均一な反射率を有する請求項10に記載の熱処理装置。
- 前記反射表面は、不均一な反射率を有する請求項10に記載の熱処理装置。
- 前記ガスデフューザは、ワークピースの温度均一性をもたらすために、均一の反射率またはその配置に応じて変化する反射率を有する表面を備えている請求項7に記載の熱処理装置。
- 前記ガスデフューザが、ワークピースの均一な加熱に適合するものである請求項13に記載の熱処理装置。
- 前記ガスデフューザが、前記容積の小さいワークピースエンクロージャを通って流れるガスを予備加熱するのに適したものである請求項7に記載の熱処理装置。
- さらに、前記ガスデフューザに形成された窓を備えてなる請求項7に記載の熱処理装置。
- さらに、ガスデフューザに形成された窓と、
前記ワークピースと対応して配置された温度センサと、
前記容積の小さいワークピースエンクロージャ内に前記ワークピースを配置する固定装置と、を備えてなり、
前記窓は、前記ワークピースの温度を達成するために
前記温度センサ用の光学経路を提供する請求項7に記載の熱処理装置。 - 前記容積の小さいワークピースエンクロージャは、少なくとも第1部分と第2部分を形成する請求項1に記載の熱処理装置。
- さらに、前記容積の小さいワークピースエンクロージャの前記第1部分に接続された少なくとも一つの支持機構を備えてなり、
前記ワークピースエンクロージャが前記熱処理装置のベースに降下したときに、前記少なくとも一つの支持機構が前記ワークピースエンクロージャの前記第1部分を支持して、前記ワークピースエンクロージャの第2部分を前記第1部分から分離させて、前記ワークピースを前記ワークピースエンクロージャの内部への投入と外部への取出しを許容する請求項18に記載の熱処理装置。 - さらに、前記ワークピースの温度を決定するために前記ワークピースと対応して配置された温度センサを備えてなる請求項1に記載の熱処理装置。
- 前記温度センサは、放射温度計により構成されてなる請求項20に記載の熱処理装置。
- 前記温度センサは、サーモカップルにより構成されてなる請求項20に記載の熱処理装置。
- さらに、前記容積の小さいワークピースエンクロージャに少なくとも一つの開口が形成されてなり、前記ワークピースエンクロージャに供給されたガスが前記少なくとも一つの開口を通って排気できるようになっている請求項1に記載の熱処理装置。
- さらに、前記加熱チャンバ内に配置されて前記加熱チャンバからガスを排気する手段を備えてなる請求項1に記載の熱処理装置。
- さらに、前記容積の小さいワークピースエンクロージャを外側副区画室と内側副区画室とに分ける内部壁を備えてなる請求項1に記載の熱処理装置。
- 前記内部壁が少なくとも一つの開口を備えてなる請求項25に記載の熱処理装置。
- さらに、前記容積の小さいワークピースエンクロージャ内のガスを、前記熱処理装置の外部に排気するために前記ワークピースエンクロージャに連通するガス排気路を備えてなる請求項25に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャの前記内側副区画室内に、少なくとも一のプロセスガスを導入する一つまたはそれ以上のガス導管をさらに備えてなる請求項25に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャは、熱放射を少なくとも部分的に透過させる材質により構成されてなる請求項1に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャは、熱放射を反射し、半透過性で、不透明な材質からなる請求項1に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャは、前記ワークピースの周囲に配置されて前記ワークピースの温度を調整するための一つまたはそれ以上の熱放射シールド、リフレクタ、および光学経路を含んでなる請求項1に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャに対する前記加熱チャンバの容積比が、2以上である請求項1に記載の熱処理装置。
- 前記位置調整アセンブリは、少なくとも一つの、ガス供給のためのガス投入導管、および、ガス排出のためのガス排出導管を備えてなる請求項1に記載の熱処理装置。
- 前記位置調整アセンブリは、前記ワークピースと少なくとも一つの熱放射源から放出される放射のために、少なくとも一つの通路を前記ワークピースと温度センサとの間に備えてなる請求項1に記載の熱処理装置。
- 前記放射のための通路は、熱放射を吸収しないガスでパージ可能である請求項34に記載の熱処理装置。
- 前記位置調整アセンブリは、電気信号を通すための少なくとも一つの通路を、前記ワークピースと温度センサとの間に備えてなる請求項1に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャは、前記ワークピースを前記エンクロージャの内部のプロセスガスを区分する壁部分として利用する請求項1に記載の熱処理装置。
- 前記容積の小さいワークピースエンクロージャの外に配置され、且つ、前記ワークピースエンクロージャ内へ流れるガスを調整して温度と前記ワークピースの温度均一化を制御するガスデフューザをさらに備えている請求項1に記載の熱処理装置。
- さらに、容積の小さいワークピースエンクロージャに入る前に、プロセスガスを励起する励起装置を備えてなる請求項1に記載の熱処理装置。
- ワークピースを熱処理する方法であって、
前記ワークピースを熱処理する加熱チャンバを用意し、
該加熱チャンバ内の容積の小さいワークピースエンクロージャの中に前記ワークピースを位置させ、
前記加熱チャンバと前記ワークピースエンクロージャとを加熱し、
前記加熱チャンバ内で前記ワークピースエンクロージャの位置を調整して、
前記ワークピースを熱処理する、
各ステップにより構成されたワークピースの熱処理方法。 - 前記熱処理のステップは、少なくとも部分的であり、熱処理装置の一つまたはそれ以上の位置に前記容積の小さいワークピースエンクロージャの位置調整を行い、必要に応じて前記ワークピースに対する加熱レベルを制御する請求項40に記載の方法。
- 前記熱処理のステップは、少なくとも部分的であり、前記容積の小さいワークピースエンクロージャにガスを供給して、前記ワークピースの加熱を少なくとも部分的に調整する請求項40に記載の方法。
- 前記ガスを前記ワークピースに供給する前に予備加熱する請求項42に記載の方法。
- 前記容積の小さいワークピースエンクロージャにガスを供給するステップは、デフューザを通して前記ガスの流れを調整することを含む請求項42に記載の方法。
- 前記加熱チャンバの加熱のステップが、少なくとも一つの加熱要素を活性化させるステップを含んでいる請求項42に記載の方法。
- 少なくとも一つの加熱要素の活性化ステップは、熱放射を生じさせて少なくとも一つの熱放射勾配と温度勾配を発生させる請求項45に記載の方法。
- 少なくとも一つの加熱要素の活性化ステップは、前記加熱チャンバと相対的に配置された複数の加熱要素を活性化させる請求項45に記載の方法。
- 少なくとも一つの加熱要素の活性化ステップは、ベルジャーの中に配置された複数の加熱要素を活性化させる請求項45に記載の方法。
- さらに、前記熱処理装置内の前記容積の小さいワークピースエンクロージャの前記加熱チャンバから前記ワークピースを取り出すステップを含んでなる請求項40に記載の方法。
- さらに、前記ガスを前記容積の小さいワークピースエンクロージャから前記熱処理装置内へ排気するステップを含んでなる請求項40に記載の方法。
- さらに、前記熱処理装置をガス抜きして、排気ガスを前記容積の小さいワークピースエンクロージャから開放されるガスを排気するステップを含んでなる請求項40に記載の方法。
- さらに、前記容積の小さいワークピースエンクロージャと前記急速熱処理装置内を所定の周囲の圧力に保持するステップを含んでなる請求項40に記載の方法。
- プラズマガス、電子保有ガス、イオン、ラジカルおよび活性した励起種の少なくとも一つを発するガスの流れを付勢して前記容積の小さいワークピースエンクロージャに供給するステップを含んでなる請求項42に記載の方法。
- さらに、排気経路を通して前記容積の小さいワークピースエンクロージャから前記ガスを排気させるステップを含んでなる請求項42に記載の方法。
- さらに、前記容積の小さいワークピースエンクロージャから前記加熱チャンバに前記ガスを排気して、前記ガスを前記容積の小さいワークピースエンクロージャからパージするステップを含んでなる請求項42に記載の方法。
- ワークピースを処理する熱処理装置であって、
熱放射強度勾配と温度勾配の少なくとも一方を有する加熱チャンバと、前記ワークピースが配置される容積の小さいワークピースエンクロージャと、このワークピースエンクロージャを支持して、前記加熱チャンバ内で前記ワークピースエンクロージャとワークピースを移動させて前記ワークピースに異なる加熱レベルをもたらす位置調整アセンブリと、を有しており、
乾式または湿式の急速熱酸化、
急速熱窒化、
インプラント拡散と珪化物配合のための急速アニール、
急速熱BPSGリフロー処理、
金属の存在においてSiの選択的酸化、
急速熱化学蒸着、
低圧化学蒸着、
MOCVD(金属有機化学蒸着)、
リモートプラズマ化学蒸着、
多層膜誘電体ゲートスタック形成
のうちの一つまたはそれ以上を実行する熱処理装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/938,257 US6753506B2 (en) | 2001-08-23 | 2001-08-23 | System and method of fast ambient switching for rapid thermal processing |
PCT/US2002/027028 WO2003019622A2 (en) | 2001-08-23 | 2002-08-23 | System and method of fast ambient switching for rapid thermal processing |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2005501407A true JP2005501407A (ja) | 2005-01-13 |
JP2005501407A5 JP2005501407A5 (ja) | 2005-12-22 |
JP4131239B2 JP4131239B2 (ja) | 2008-08-13 |
Family
ID=25471178
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003522982A Expired - Fee Related JP4131239B2 (ja) | 2001-08-23 | 2002-08-23 | 高速熱処理の急速雰囲気切り替えシステムおよびその方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US6753506B2 (ja) |
EP (1) | EP1419520A2 (ja) |
JP (1) | JP4131239B2 (ja) |
CN (1) | CN100409402C (ja) |
AU (1) | AU2002331716A1 (ja) |
TW (1) | TW539842B (ja) |
WO (1) | WO2003019622A2 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007019227A (ja) * | 2005-07-07 | 2007-01-25 | Ishikawajima Harima Heavy Ind Co Ltd | 水蒸気アニール用治具 |
KR101343149B1 (ko) | 2008-05-08 | 2013-12-19 | 쌩-고벵 글래스 프랑스 | 처리 챔버 내 대상물을 템퍼링하는 장치 및 방법 |
JP2021504958A (ja) * | 2017-11-28 | 2021-02-15 | エヴァテック・アーゲー | 基板処理装置、基板を処理する方法及び処理加工物を製造する方法 |
Families Citing this family (184)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3554297B2 (ja) * | 2001-07-26 | 2004-08-18 | 株式会社エフティーエル | 半導体基板熱処理装置及び半導体素子の製造方法 |
US20030173346A1 (en) * | 2002-03-18 | 2003-09-18 | Renken Wayne Glenn | System and method for heating and cooling wafer at accelerated rates |
US6919251B2 (en) * | 2002-07-31 | 2005-07-19 | Texas Instruments Incorporated | Gate dielectric and method |
US20040053514A1 (en) * | 2002-08-27 | 2004-03-18 | Ali Shajii | Apparatus for cooling a substrate through thermal conduction in the viscous regime |
JP4257576B2 (ja) * | 2003-03-25 | 2009-04-22 | ローム株式会社 | 成膜装置 |
US7383875B2 (en) * | 2003-07-09 | 2008-06-10 | Canon Kabushiki Kaisha | Heating/cooling method, manufacturing method of image displaying apparatus, heating/cooling apparatus, and heating/cooling processing apparatus |
US20050037521A1 (en) * | 2003-08-15 | 2005-02-17 | Uwe Wellhausen | Methods and apparatus for processing semiconductor devices by gas annealing |
US7181132B2 (en) * | 2003-08-20 | 2007-02-20 | Asm International N.V. | Method and system for loading substrate supports into a substrate holder |
US7026581B2 (en) * | 2003-08-22 | 2006-04-11 | Axcelis Technologies, Inc. | Apparatus for positioning an elevator tube |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20050250346A1 (en) * | 2004-05-06 | 2005-11-10 | Applied Materials, Inc. | Process and apparatus for post deposition treatment of low k dielectric materials |
JP2006066884A (ja) * | 2004-07-27 | 2006-03-09 | Tokyo Electron Ltd | 成膜方法、成膜装置及び記憶媒体 |
US20060130971A1 (en) * | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
US20060249175A1 (en) * | 2005-05-09 | 2006-11-09 | Applied Materials, Inc. | High efficiency UV curing system |
US20060251827A1 (en) * | 2005-05-09 | 2006-11-09 | Applied Materials, Inc. | Tandem uv chamber for curing dielectric materials |
US8661869B2 (en) * | 2005-11-04 | 2014-03-04 | Cyril Bath Company | Stretch forming apparatus with supplemental heating and method |
FI121543B (fi) * | 2005-11-17 | 2010-12-31 | Beneq Oy | Järjestely ALD-reaktorin yhteydessä |
US8500382B2 (en) * | 2007-05-22 | 2013-08-06 | Axcelis Technologies Inc. | Airflow management for particle abatement in semiconductor manufacturing equipment |
US7921803B2 (en) * | 2007-09-21 | 2011-04-12 | Applied Materials, Inc. | Chamber components with increased pyrometry visibility |
EP2253012A4 (en) * | 2008-03-13 | 2013-10-16 | Alliance Sustainable Energy | OPTICAL CAVITY OVEN FOR SEMICONDUCTOR WELDING PROCESSING |
US7947561B2 (en) * | 2008-03-14 | 2011-05-24 | Applied Materials, Inc. | Methods for oxidation of a semiconductor device |
KR20100139092A (ko) * | 2008-03-26 | 2010-12-31 | 지티 솔라 인코퍼레이티드 | 금-코팅된 폴리실리콘 반응기 시스템 및 방법 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
CN102305539A (zh) * | 2011-07-21 | 2012-01-04 | 广东世创金属科技有限公司 | 具有加热/冷却可控结构及可中途取样的热模拟炉 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8993458B2 (en) | 2012-02-13 | 2015-03-31 | Applied Materials, Inc. | Methods and apparatus for selective oxidation of a substrate |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
EP3063009A4 (en) | 2013-10-31 | 2018-03-21 | Hewlett-Packard Development Company, L.P. | Printheads having memories formed thereon |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
TWI509698B (zh) | 2013-12-25 | 2015-11-21 | Ind Tech Res Inst | 用於退火裝置的樣品座與使用此樣品座的電流輔助退火裝置 |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US20180261473A1 (en) * | 2014-12-11 | 2018-09-13 | Evatec Ag | Apparatus and method especially for degassing of substrates |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US11421321B2 (en) * | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10752991B2 (en) * | 2017-02-06 | 2020-08-25 | Applied Materials, Inc. | Half-angle nozzle |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
JP6869101B2 (ja) * | 2017-05-12 | 2021-05-12 | 株式会社ダイセル | 接着剤層形成装置、半導体チップ製造ライン、及び積層体の製造方法 |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
FI130051B (en) * | 2019-04-25 | 2023-01-13 | Beneq Oy | DEVICE AND METHOD |
KR102444786B1 (ko) * | 2021-12-23 | 2022-09-19 | 주식회사 에이치피에스피 | 냉각 효율을 향상시키는 고압챔버 |
CN115386948B (zh) * | 2022-09-26 | 2024-04-19 | 中环领先(徐州)半导体材料有限公司 | 单晶生长炉和晶体生长方法 |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE3707672A1 (de) * | 1987-03-10 | 1988-09-22 | Sitesa Sa | Epitaxieanlage |
US4857689A (en) | 1988-03-23 | 1989-08-15 | High Temperature Engineering Corporation | Rapid thermal furnace for semiconductor processing |
US5387557A (en) * | 1991-10-23 | 1995-02-07 | F. T. L. Co., Ltd. | Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones |
US6033480A (en) * | 1994-02-23 | 2000-03-07 | Applied Materials, Inc. | Wafer edge deposition elimination |
US5730801A (en) * | 1994-08-23 | 1998-03-24 | Applied Materials, Inc. | Compartnetalized substrate processing chamber |
US5728224A (en) * | 1995-09-13 | 1998-03-17 | Tetra Laval Holdings & Finance S.A. | Apparatus and method for manufacturing a packaging material using gaseous phase atmospheric photo chemical vapor deposition to apply a barrier layer to a moving web substrate |
WO1997031389A1 (fr) | 1996-02-23 | 1997-08-28 | Tokyo Electron Limited | Dispositif de traitement thermique |
JPH09237789A (ja) * | 1996-02-29 | 1997-09-09 | Toshiba Corp | 遮蔽体および熱処理装置および熱処理方法 |
US6133550A (en) | 1996-03-22 | 2000-10-17 | Sandia Corporation | Method and apparatus for thermal processing of semiconductor substrates |
US5837555A (en) * | 1996-04-12 | 1998-11-17 | Ast Electronik | Apparatus and method for rapid thermal processing |
US5653808A (en) * | 1996-08-07 | 1997-08-05 | Macleish; Joseph H. | Gas injection system for CVD reactors |
US5891251A (en) * | 1996-08-07 | 1999-04-06 | Macleish; Joseph H. | CVD reactor having heated process chamber within isolation chamber |
JPH10125689A (ja) * | 1996-10-22 | 1998-05-15 | Semitsukusu Eng Kk | 熱処理装置 |
US5900177A (en) * | 1997-06-11 | 1999-05-04 | Eaton Corporation | Furnace sidewall temperature control system |
US6173116B1 (en) | 1997-12-19 | 2001-01-09 | U.S. Philips Corporation | Furnace for rapid thermal processing |
JP3567070B2 (ja) | 1997-12-27 | 2004-09-15 | 東京エレクトロン株式会社 | 熱処理装置及び熱処理方法 |
US6183127B1 (en) | 1999-03-29 | 2001-02-06 | Eaton Corporation | System and method for the real time determination of the in situ emissivity of a workpiece during processing |
US6293696B1 (en) * | 1999-05-03 | 2001-09-25 | Steag Rtp Systems, Inc. | System and process for calibrating pyrometers in thermal processing chambers |
-
2001
- 2001-08-23 US US09/938,257 patent/US6753506B2/en not_active Expired - Fee Related
-
2002
- 2002-08-19 TW TW091118649A patent/TW539842B/zh active
- 2002-08-23 EP EP02768698A patent/EP1419520A2/en not_active Withdrawn
- 2002-08-23 CN CNB028165128A patent/CN100409402C/zh not_active Expired - Fee Related
- 2002-08-23 WO PCT/US2002/027028 patent/WO2003019622A2/en active Application Filing
- 2002-08-23 AU AU2002331716A patent/AU2002331716A1/en not_active Abandoned
- 2002-08-23 JP JP2003522982A patent/JP4131239B2/ja not_active Expired - Fee Related
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007019227A (ja) * | 2005-07-07 | 2007-01-25 | Ishikawajima Harima Heavy Ind Co Ltd | 水蒸気アニール用治具 |
KR101343149B1 (ko) | 2008-05-08 | 2013-12-19 | 쌩-고벵 글래스 프랑스 | 처리 챔버 내 대상물을 템퍼링하는 장치 및 방법 |
JP2021504958A (ja) * | 2017-11-28 | 2021-02-15 | エヴァテック・アーゲー | 基板処理装置、基板を処理する方法及び処理加工物を製造する方法 |
JP7282769B2 (ja) | 2017-11-28 | 2023-05-29 | エヴァテック・アーゲー | 基板処理装置、基板を処理する方法及び処理加工物を製造する方法 |
Also Published As
Publication number | Publication date |
---|---|
AU2002331716A1 (en) | 2003-03-10 |
JP4131239B2 (ja) | 2008-08-13 |
US6753506B2 (en) | 2004-06-22 |
CN100409402C (zh) | 2008-08-06 |
WO2003019622A3 (en) | 2003-12-18 |
CN1547757A (zh) | 2004-11-17 |
TW539842B (en) | 2003-07-01 |
EP1419520A2 (en) | 2004-05-19 |
WO2003019622A2 (en) | 2003-03-06 |
US20030038127A1 (en) | 2003-02-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4131239B2 (ja) | 高速熱処理の急速雰囲気切り替えシステムおよびその方法 | |
KR100978966B1 (ko) | 기판 처리 방법 및 기판 처리 장치 | |
JP6665032B2 (ja) | 熱処理方法および熱処理装置 | |
CN101937844B (zh) | 绝缘膜形成方法 | |
JP5511536B2 (ja) | 基板処理装置及び半導体装置の製造方法 | |
TWI478224B (zh) | 基板處理裝置及半導體裝置之製造方法 | |
US9646862B2 (en) | Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium | |
US11574824B2 (en) | Heat treatment method including low temperature degassing before flash lamp anneal and heat treatment apparatus thereof | |
JP2008539564A (ja) | 異なる環境での処理を可能とする基板処理プラットフォーム | |
US20100227478A1 (en) | Substrate processing apparatus and method of manufacturing semiconductor | |
KR20050010782A (ko) | 플라즈마 질화된 게이트 유전체 층에서 질소 프로파일을개선하기 위한 방법 | |
TWI473191B (zh) | 基板處理設備、製造半導體裝置之方法及基板處理方法 | |
JP6005966B2 (ja) | 熱処理装置および熱処理方法 | |
WO2012133441A1 (ja) | 基板処理装置、半導体装置の製造方法及び基板処理方法 | |
WO2018167846A1 (ja) | 基板処理装置、半導体装置の製造方法およびプログラム | |
US8513578B2 (en) | Electromagnetic wave processing apparatus | |
JP2004281824A (ja) | 基板処理装置および基板処理方法 | |
US20080038479A1 (en) | Apparatus and method for processing a substrate | |
JP2012195570A (ja) | 基板処理装置及び基板処理方法 | |
JP2012079785A (ja) | 絶縁膜の改質方法 | |
JP4003206B2 (ja) | 熱処理装置および熱処理方法 | |
JPH1079377A (ja) | 成膜・改質集合装置 | |
JP2005259902A (ja) | 基板処理装置 | |
JP2008153592A (ja) | 基板処理装置および基板処理方法 | |
TWI801693B (zh) | 熱處理方法及熱處理裝置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041008 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20070928 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20071003 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071227 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080109 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080303 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080310 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080331 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080423 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080513 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110606 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
LAPS | Cancellation because of no payment of annual fees |