JP2005140759A - Semiconductor integrated circuit and failure detection method for semiconductor integrated circuit - Google Patents

Semiconductor integrated circuit and failure detection method for semiconductor integrated circuit Download PDF

Info

Publication number
JP2005140759A
JP2005140759A JP2003380558A JP2003380558A JP2005140759A JP 2005140759 A JP2005140759 A JP 2005140759A JP 2003380558 A JP2003380558 A JP 2003380558A JP 2003380558 A JP2003380558 A JP 2003380558A JP 2005140759 A JP2005140759 A JP 2005140759A
Authority
JP
Japan
Prior art keywords
semiconductor integrated
circuit
integrated circuit
power supply
functional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003380558A
Other languages
Japanese (ja)
Other versions
JP4557526B2 (en
Inventor
Shusaku Ota
修策 太田
Naohiro Fujii
直宏 藤井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003380558A priority Critical patent/JP4557526B2/en
Publication of JP2005140759A publication Critical patent/JP2005140759A/en
Application granted granted Critical
Publication of JP4557526B2 publication Critical patent/JP4557526B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor integrated circuit that highly accurately detects a leak current component due to failure with variations reduced in a background current without cutting off the supply of power to functional circuit blocks, when performing IDDQ test on the integrated circuit using a micro-process, and to provide a test method. <P>SOLUTION: A block supply selection circuit 10 is provided for selecting a specific functional circuit block based on a control signal from among the plurality of functional circuit blocks constituting the semiconductor integrated circuit, and for outputting, as an internal clock, a clock signal inputted from an external terminal to the selected functional circuit block. When performing IDDQ test, transistors toggled by an external clock signal input are limited only to transistors in the functional circuit block selected by the selection circuit 10 to reduce variations in the background current caused by a change of state of unselected functional circuit blocks. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、半導体集積回路の構造、及び当該半導体集積回路の故障検出方法に係るものであり、特に微細CMOS集積回路の故障検出を容易に行えるようにする構造に関するものである。   The present invention relates to a structure of a semiconductor integrated circuit and a failure detection method for the semiconductor integrated circuit, and more particularly to a structure capable of easily detecting a failure of a fine CMOS integrated circuit.

近年、CMOS(Complementary Metal Oxide Semiconductor)集積回路のプロセス微細化とゲート規模拡大に伴い、スキャン及びファンクションテスト等のテストパターンを使用した検査では検出困難な、配線間ショートやトランジスタリーク等の故障モードが顕著になってきている。このような故障を検出する方法としてはIDDQテストが有効であり、より高精度なIDDQテスト手法が開発されてきた。   In recent years, along with process miniaturization and gate scale expansion of CMOS (Complementary Metal Oxide Semiconductor) integrated circuits, failure modes such as inter-wire short-circuits and transistor leaks, which are difficult to detect by inspection using test patterns such as scan and function test, have occurred. It has become prominent. The IDDQ test is effective as a method for detecting such a failure, and a more accurate IDDQ test method has been developed.

IDDQテストとは、定常状態におけるCMOS回路においては、通常微小な電源電流(以下、静止電源電流と称す)が流れるものであるところ、回路内に故障がある場合は、定常状態に比べて数倍の電流が流れるという特性を用いて、CMOS回路の内部状態を変化させながら数箇所の測定ポイントにおいて静止電源電流を測定し、その測定結果と所定の基準値とを比較することにより回路内に存在する故障を検出していくものである。   In the CMOS circuit in the steady state, the IDDQ test usually has a very small power supply current (hereinafter referred to as a quiescent power supply current) flowing. If there is a fault in the circuit, the IDDQ test is several times that in the steady state. Using the characteristic that current flows, the static power supply current is measured at several measurement points while changing the internal state of the CMOS circuit, and the measurement result is compared with a predetermined reference value to be present in the circuit. The failure to be detected is detected.

IDDQテストは、電源が供給される全トランジスタがテスト対象になるため、ファンクションテストでは検出できない故障も検出することが可能となる。また、IDDQテストの際に、できるだけ多くの内部ノードを動作させ、静止電源電流を測定する測定ポイントを増やすことで、非常に高精度な故障検出が可能となる。   In the IDDQ test, all transistors to which power is supplied are to be tested, so it is possible to detect failures that cannot be detected by the function test. In addition, by performing as many internal nodes as possible during the IDDQ test and increasing the number of measurement points for measuring the quiescent power supply current, it is possible to detect a failure with very high accuracy.

しかし、近年の更なるプロセス微細化技術の進歩により、チャネル長が0.15マイクロメータ以下のトランジスタを用いて1千万を越すトランジスタが集積された半導体集積回路が開発されており、このような半導体集積回路においては、微細化によって供給電源電圧の低電圧化が進み、回路内の故障が原因で発生するリーク電流が微小化すると共に、トランジスタのオフ電流増加により、回路内に故障が存在しなくても流れるバックグラウンド電流の増加が著しくなっている。このような半導体集積回路を用いて前記のIDDQテストを実行すると、以下のような問題が生じる場合がある。   However, due to further progress in process miniaturization technology in recent years, semiconductor integrated circuits in which transistors exceeding 10 million are integrated using transistors having a channel length of 0.15 micrometers or less have been developed. In semiconductor integrated circuits, the power supply voltage has been lowered due to miniaturization, the leakage current generated due to the failure in the circuit has been reduced, and there has been a failure in the circuit due to the increase in the off-current of the transistor. Even if it does not, the increase of the background current which flows is remarkable. When the IDDQ test is executed using such a semiconductor integrated circuit, the following problems may occur.

例えば、図18(a),(b),(c)は、複数の機能回路ブロックで構成された半導体集積回路において、当該複数の機能回路ブロックの内部状態をそれぞれ変化させ、7つの測定ポイントで静止電源電流を測定した際の各機能回路ブロック毎の測定値のばらつきを表した図である。なお、以下においては、一つの測定ポイントで静止電源電流を測定する場合を1サイクルとする。また、図18(d)は、図18(a)〜図18(c)の各サイクル毎の測定値を加算したものであり、実際のIDDQテストにおいては当該測定値が各サイクル毎に測定され、所定の基準値との比較が行われることになる。   For example, FIGS. 18A, 18B, and 18C show, in a semiconductor integrated circuit composed of a plurality of functional circuit blocks, the internal states of the plurality of functional circuit blocks are changed, and seven measurement points are used. It is a figure showing the dispersion | variation in the measured value for each functional circuit block at the time of measuring a stationary power supply current. In the following, the case where the quiescent power supply current is measured at one measurement point is defined as one cycle. Further, FIG. 18D is obtained by adding the measured values for each cycle of FIGS. 18A to 18C, and in the actual IDDQ test, the measured value is measured for each cycle. Comparison with a predetermined reference value is performed.

ここで、図18(b)に示す機能回路ブロックの第3サイクル測定時の内部状態においては静止電源電流の測定値が突出しており、当該異常電流が検出されている機能回路ブロックに故障が存在しているものと判断することができる。   Here, in the internal state at the time of the third cycle measurement of the functional circuit block shown in FIG. 18B, the measured value of the quiescent power supply current is prominent, and there is a failure in the functional circuit block in which the abnormal current is detected. Can be determined.

しかし、IDDQテスト時は前述のとおり全トランジスタがテスト対象であることから、実際には図18(d)に示すように回路全体の静止電源電流を測定し、判定基準を設定して良否判定を行うことになる。この際に、CMOS回路の定常状態において流れる電流のうち、故障によるリーク電流成分よりもバックグラウンド電流成分の占める割合が大きくなると、本来であれば、図18(d)において第3サイクルにおける静止電源電流の測定値は、故障の検出されない他のサイクルの測定値に比べて突出すべきにもかかわらず、図18(d)に示すように他のサイクルにおいて測定されたバックグラウンド電流のばらつき範囲に隠れてしまうことが起こり得る。   However, during the IDDQ test, since all the transistors are the test targets as described above, actually, the quiescent power supply current of the entire circuit is measured as shown in FIG. Will do. At this time, if the ratio of the background current component to the current flowing in the steady state of the CMOS circuit becomes larger than the leakage current component due to the failure, the stationary power supply in the third cycle in FIG. Although the measured value of the current should be prominent compared with the measured value of the other cycle in which no failure is detected, the variation of the background current measured in the other cycle as shown in FIG. It can happen to be hidden.

このような状態になると、測定した静止電源電流に対して判定基準を設けることが困難となり、ある程度のマージンをもたせた判定基準を設定せざるを得なくなる。かかる判定基準のもとで故障検査が行われれば、必然的に不良品の流出の増加を招くことになる。また、このようなIDDQテストのもう一つの問題点として、測定する電源が供給される全トランジスタがテスト対象であるために、被検査半導体集積回路において故障を検出した場合であっても、当該故障箇所の特定が困難であるという点が挙げられる。   In such a state, it becomes difficult to set a determination standard for the measured quiescent power supply current, and it is necessary to set a determination standard with a certain margin. If a failure inspection is performed under such a criterion, an outflow of defective products is inevitably increased. Further, another problem of such IDDQ test is that even if a failure is detected in the semiconductor integrated circuit under test because all the transistors supplied with the power to be measured are the test target, The point is that it is difficult to specify the location.

上記の問題点に関する従来の対処法としては、例えば特許文献1に記載されているように、複数の機能回路ブロックで構成された半導体集積回路においてIDDQテストを実施する際に、それぞれの機能回路ブロックへの電源供給を制御することで静止電源電流を測定する際のバックグラウンド電流の影響を減少させ、故障した機能回路ブロックの特定を行うものがある。以下に、特許文献1に記載された従来のIDDQテスト回路を図19を用いて説明する。   As a conventional countermeasure for the above problem, for example, as described in Patent Document 1, when performing an IDDQ test in a semiconductor integrated circuit composed of a plurality of functional circuit blocks, each functional circuit block There is one that reduces the influence of the background current when measuring the quiescent power supply current by controlling the power supply to the power supply, and identifies the faulty functional circuit block. Hereinafter, a conventional IDDQ test circuit described in Patent Document 1 will be described with reference to FIG.

図19において100Aは複数の機能回路ブロックで構成された半導体集積回路、101はテスト対象となる被測定集積回路、102〜104は被測定集積回路101を構成する機能回路ブロック、500は電源供給経路200に流れる電流を測定する電流検出回路、をそれぞれ表す。   In FIG. 19, 100A is a semiconductor integrated circuit composed of a plurality of functional circuit blocks, 101 is an integrated circuit to be tested, 102 to 104 are functional circuit blocks constituting the integrated circuit to be measured 101, and 500 is a power supply path. Each of the current detection circuits that measure the current flowing through 200 is shown.

機能回路ブロック102〜104は電源供給経路200を介して外部電源端子302に接続されており、GND経路201を介してGND端子303に接続されている。また、機能回路ブロック102〜104はクロック供給経路202を介しクロック供給端子301へと接続されるとともに、データ入力経路203を介して、データ入力端子300に接続されている。半導体集積回路100Aの電源供給経路200は、機能回路ブロック102〜104に接続される際に、それぞれ電源供給遮断回路1〜3を介して接続されており、それぞれの電源供給遮断回路は、制御経路204〜206を介して遮断回路制御装置4に接続されている。さらに、前記機能回路ブロック102〜104間の接続は回路分離装置5、6を介して接続されている。   The functional circuit blocks 102 to 104 are connected to the external power supply terminal 302 via the power supply path 200 and are connected to the GND terminal 303 via the GND path 201. The functional circuit blocks 102 to 104 are connected to the clock supply terminal 301 via the clock supply path 202 and are connected to the data input terminal 300 via the data input path 203. When the power supply path 200 of the semiconductor integrated circuit 100A is connected to the functional circuit blocks 102 to 104, they are connected via the power supply cutoff circuits 1 to 3, respectively. It is connected to the cutoff circuit control device 4 via 204-206. Further, the connection between the functional circuit blocks 102 to 104 is connected through circuit separation devices 5 and 6.

次に、以上のように構成されたIDDQテスト回路の動作について説明する。
まず、IDDQテスト実行の際に遮断制御装置4を用いて電源供給遮断回路1〜3の制御を行い、電源供給を行う機能回路ブロックを選択する。
次に、電流検出回路500で電源経路200に流れる電流の測定を行った後、電源供給を行う機能回路ブロックを切り替えて、同様に電流測定を行っていく。
例えば、前記機能回路ブロック102のみ電源供給を行う状態になるよう遮断制御装置4を制御した状態において電流を測定し、その後、前記機能回路ブロック103のみ電源供給を行う状態になるよう遮断制御装置4を制御して同様に電流の測定を行い、最後に前記機能回路ブロック104のみ電源供給を行い、電流を測定する。
Next, the operation of the IDDQ test circuit configured as described above will be described.
First, when the IDDQ test is executed, the power supply cutoff circuits 1 to 3 are controlled using the cutoff control device 4 to select a functional circuit block that supplies power.
Next, after the current flowing through the power supply path 200 is measured by the current detection circuit 500, the function circuit block that supplies power is switched, and the current measurement is performed in the same manner.
For example, the current is measured in a state where the cutoff control device 4 is controlled so that only the functional circuit block 102 is supplied with power, and then the cutoff control device 4 is set so that only the functional circuit block 103 is supplied with power. In the same manner, the current is measured, and finally, only the functional circuit block 104 is supplied with power and the current is measured.

このような手順でIDDQテストを実施することで、テスト対象を全トランジスタから機能回路ブロック単位に限定することができ、その結果、測定した電流値におけるバックグラウンド電流成分の占める割合が減少することで、故障によるリーク電流成分の検出を高精度に行うことが可能となる。また、テスト対象を機能回路ブロックに絞ったことで故障箇所の特定についても容易に行うことができる。
特開平8−271584号公報
By performing the IDDQ test according to such a procedure, it is possible to limit the test target to the functional circuit block unit from all the transistors, and as a result, the proportion of the background current component in the measured current value decreases. Therefore, it becomes possible to detect a leakage current component due to a failure with high accuracy. Further, the failure location can be easily identified by narrowing the test target to the functional circuit block.
JP-A-8-271484

しかしながら、前記従来のIDDQテストでは機能回路ブロック間で信号の受け渡しがある場合、電源を遮断された機能回路ブロックが他の機能回路ブロックに影響を与えないことが大前提となっている。   However, in the conventional IDDQ test, when there is a signal exchange between functional circuit blocks, it is a major premise that the functional circuit block whose power is cut off does not affect other functional circuit blocks.

例えば、機能回路ブロック間において、信号の受け渡しがある場合、電源を遮断された機能回路ブロックの出力がフローティングになることで、後段のテスト対象機能回路ブロックにおいて貫通電流が流れることが予測される。このため、当該貫通電流が流れないようにするためには、バスホールド等の動作を行う回路分離装置5,6の設置が必要となる。   For example, when a signal is exchanged between functional circuit blocks, it is predicted that a through current will flow in the test target functional circuit block in the subsequent stage because the output of the functional circuit block whose power is cut off is in a floating state. For this reason, in order to prevent the through current from flowing, it is necessary to install circuit separating devices 5 and 6 that perform operations such as bus hold.

また、従来例によれば、機能回路ブロックそれぞれの電源供給経路ごとに電源供給遮断回路が必要であり、追加した電源供給遮断回路による電圧降下の影響を最小限に留めるための対処が必要となる。以上のように、IDDQテスト時のバックグラウンド電流が与える影響を減少させる手段を、機能回路ブロックへの電源供給の遮断によることとすれば、半導体集積回路の構成が複雑になりかねない。   Further, according to the conventional example, a power supply cutoff circuit is required for each power supply path of each functional circuit block, and it is necessary to take measures to minimize the influence of the voltage drop due to the added power supply cutoff circuit. . As described above, if the means for reducing the influence of the background current during the IDDQ test is to cut off the power supply to the functional circuit block, the configuration of the semiconductor integrated circuit may be complicated.

本発明は上記課題を解決するためになされたものであり、微細プロセスを使用した半導体集積回路、特にチャネル長が0.15マイクロメータ以下であるような半導体集積回路のIDDQテスト時において、従来の半導体集積回路に比べて簡易な構成により、バックグラウンド電流が与える影響を減少させ、高精度なIDDQテストを可能とする半導体集積回路、及び半導体集積回路の故障検出方法を提供することを目的とする。   The present invention has been made in order to solve the above-described problems. In the IDDQ test of a semiconductor integrated circuit using a fine process, particularly a semiconductor integrated circuit having a channel length of 0.15 micrometers or less, An object of the present invention is to provide a semiconductor integrated circuit capable of performing an IDDQ test with high accuracy by reducing the influence of a background current with a simpler configuration than that of a semiconductor integrated circuit, and a failure detection method for the semiconductor integrated circuit. .

上記課題を解決するため、本発明の請求項1に係る半導体集積回路は、内部クロック信号に同期して動作する複数の機能回路ブロックで構成された半導体集積回路において、前記複数の機能回路ブロックのうち、外部端子から入力される制御信号に基づいて機能回路ブロックを一つ以上選択し、外部端子から入力したクロック信号を、当該選択された機能回路ブロックに対して内部クロックとして出力するクロック供給選択回路を備えることを特徴とする。   In order to solve the above problems, a semiconductor integrated circuit according to claim 1 of the present invention is a semiconductor integrated circuit including a plurality of functional circuit blocks that operate in synchronization with an internal clock signal. Clock supply selection that selects one or more functional circuit blocks based on a control signal input from an external terminal and outputs a clock signal input from the external terminal as an internal clock to the selected functional circuit block A circuit is provided.

また、本発明の請求項2に係る半導体集積回路は、内部クロック信号に同期して動作する複数の機能回路ブロックで構成された半導体集積回路において、外部端子から入力したクロック信号に同期して動作するカウンタと、機能回路ブロックの選択を制御する制御信号の生成、及び出力を行い、前記カウンタからの出力を受けて、所定の周期毎に前記制御信号の出力内容を切り替える制御信号生成回路と、前記制御信号の出力を受けて、前記複数の機能回路ブロックの中から機能回路ブロックを一つ以上選択し、外部端子から入力したクロック信号を、当該選択された機能回路ブロックに対して内部クロックとして出力するクロック供給選択回路とを備えることを特徴とする。   A semiconductor integrated circuit according to claim 2 of the present invention operates in synchronization with a clock signal input from an external terminal in a semiconductor integrated circuit composed of a plurality of functional circuit blocks operating in synchronization with an internal clock signal. And a control signal generation circuit that generates and outputs a control signal that controls selection of a functional circuit block, receives an output from the counter, and switches an output content of the control signal every predetermined period; In response to the output of the control signal, one or more functional circuit blocks are selected from the plurality of functional circuit blocks, and a clock signal input from an external terminal is used as an internal clock for the selected functional circuit block. And an output clock supply selection circuit.

また、本発明の請求項3に係る半導体集積回路は、請求項2に記載の半導体集積回路において、前記制御信号生成回路から出力される制御信号を、外部に出力する制御信号出力端子をさらに備える、ことを特徴とする。   A semiconductor integrated circuit according to a third aspect of the present invention is the semiconductor integrated circuit according to the second aspect, further comprising a control signal output terminal for outputting a control signal output from the control signal generation circuit to the outside. It is characterized by that.

また、本発明の請求項4に係る半導体集積回路は、請求項2に記載の半導体集積回路において、記憶回路が出力すべき周期設定値を指示するアドレス信号を生成し、当該アドレス信号を記憶回路に対して出力するアドレス生成回路と前記制御信号の出力周期として1つ以上の周期設定値を格納し、当該周期設定値のいずれかを前記アドレス信号に従って前記制御信号生成回路に対して出力する記憶回路とをさらに備えることを特徴とする。   According to a fourth aspect of the present invention, there is provided a semiconductor integrated circuit according to the second aspect, wherein the semiconductor integrated circuit according to the second aspect generates an address signal indicating a cycle setting value to be output from the memory circuit, and the address signal is And an address generation circuit that outputs the control signal and one or more cycle setting values as an output cycle of the control signal, and a memory that outputs any of the cycle setting values to the control signal generation circuit according to the address signal And a circuit.

また、本発明の請求項5に係る半導体集積回路は、請求項1に記載の半導体集積回路において、前記複数の機能回路ブロックは、それぞれが独立したスキャンチェーンであることを特徴とする。   According to a fifth aspect of the present invention, in the semiconductor integrated circuit according to the first aspect, each of the plurality of functional circuit blocks is an independent scan chain.

また、本発明の請求項6に係る半導体集積回路は、請求項5に記載の半導体集積回路において、前記独立した複数のスキャンチェーンのうち、2つ以上のスキャンチェーンがスキャンイン端子を共有している、ことを特徴とする。   A semiconductor integrated circuit according to claim 6 of the present invention is the semiconductor integrated circuit according to claim 5, wherein two or more scan chains among the plurality of independent scan chains share a scan-in terminal. It is characterized by that.

また、本発明の請求項7に係る半導体集積回路は、請求項5に記載の半導体集積回路において、前記独立した複数のスキャンチェーンのそれぞれは、各スキャンチェーンを構成する最終段のフリップフロップのスキャンアウト端子が、当該スキャンチェーンの初段のスキャンイン端子へ帰還接続されており、各スキャンチェーンは外部から入力したキャプチャ信号を受けてキャプチャ動作を行うことを特徴とする。   The semiconductor integrated circuit according to claim 7 of the present invention is the semiconductor integrated circuit according to claim 5, wherein each of the plurality of independent scan chains is a scan of a flip-flop at a final stage constituting each scan chain. The out terminal is feedback-connected to the first scan-in terminal of the scan chain, and each scan chain receives a capture signal input from the outside and performs a capture operation.

また、本発明の請求項8に係る半導体集積回路は、請求項5に記載の半導体集積回路において、前記独立した複数のスキャンチェーンのそれぞれは、各スキャンチェーンを構成する最終段のフリップフロップのスキャンアウト端子が、当該スキャンチェーンの初段のスキャンイン端子へ帰還接続されており、各スキャンチェーンは半導体内部に備えるカウンタにより生成されたキャプチャ信号を受けてキャプチャ動作を行うことを特徴とする。   The semiconductor integrated circuit according to an eighth aspect of the present invention is the semiconductor integrated circuit according to the fifth aspect, wherein each of the plurality of independent scan chains is a scan of a flip-flop at a final stage constituting each scan chain. The out terminal is connected to the first scan-in terminal of the scan chain in a feedback manner, and each scan chain receives a capture signal generated by a counter provided in the semiconductor and performs a capture operation.

また、本発明の請求項9に係る半導体集積回路は、 請求項1ないし請求項8の何れかに記載の半導体集積回路において、前記複数の機能回路ブロックに電源を供給する電源供給経路を流れる静止電源電流を測定する電流検出回路と、当該電流検出回路の測定値と所定の基準値との比較を行うコンパレータと、前記コンパレータの比較結果を外部に出力する比較結果出力端子とをさらに備えることを特徴とする。   A semiconductor integrated circuit according to a ninth aspect of the present invention is the semiconductor integrated circuit according to any one of the first to eighth aspects, wherein the stationary circuit flows through a power supply path that supplies power to the plurality of functional circuit blocks. A current detection circuit that measures a power supply current; a comparator that compares a measurement value of the current detection circuit with a predetermined reference value; and a comparison result output terminal that outputs a comparison result of the comparator to the outside. Features.

また、本発明の請求項10に係る半導体集積回路は、請求項9に記載の半導体集積回路において、前記電流検出回路が静止電源電流を測定するタイミングを制御するトリガ信号を生成し、当該トリガ信号を前記電流検出回路に対して出力するカウンタをさらに備えることを特徴とする。   A semiconductor integrated circuit according to a tenth aspect of the present invention is the semiconductor integrated circuit according to the ninth aspect, wherein a trigger signal for controlling a timing at which the current detection circuit measures a quiescent power supply current is generated, and the trigger signal is generated. Is further provided to the current detection circuit.

また、本発明の請求項11に係る半導体集積回路は、請求項9に記載の半導体集積回路において、前記所定の基準値を格納し、当該基準値を前記コンパレータに対して出力する記憶回路をさらに備えることを特徴とする。   A semiconductor integrated circuit according to an eleventh aspect of the present invention is the semiconductor integrated circuit according to the ninth aspect, further comprising a storage circuit that stores the predetermined reference value and outputs the reference value to the comparator. It is characterized by providing.

また、本発明の請求項12に係る半導体集積回路は、請求項9に記載の半導体集積回路において、前記電流検出回路が測定した測定値の最大値と最小値を記憶する記憶回路と、当該記憶回路に格納された最大値と最小値の差分を計算し、当該差分値を前記電流検出回路の測定結果として前記コンパレータに対して出力する演算回路とをさらに備えることを特徴とする。   A semiconductor integrated circuit according to a twelfth aspect of the present invention is the semiconductor integrated circuit according to the ninth aspect, wherein the storage circuit stores the maximum value and the minimum value of the measurement values measured by the current detection circuit, and the storage And an arithmetic circuit for calculating a difference between a maximum value and a minimum value stored in the circuit and outputting the difference value as a measurement result of the current detection circuit to the comparator.

また、本発明の請求項13に係る半導体集積回路は、請求項9に記載の半導体集積回路において、前記電源供給経路に流れる静止電源電流の変化量を計測し、当該変化量が所定の値以下に達したときに、前記電流検出回路が電流測定を行うことを許可する測定許可信号を、前記電流検出回路に対して出力する電流変化量検出回路をさらに備えることを特徴とする。   According to a thirteenth aspect of the present invention, in the semiconductor integrated circuit according to the ninth aspect, the amount of change in the quiescent power supply current flowing through the power supply path is measured, and the amount of change is equal to or less than a predetermined value. And a current change amount detection circuit that outputs a measurement permission signal for allowing the current detection circuit to perform current measurement when the current detection circuit reaches the current detection circuit.

また、本発明の請求項14に係る半導体集積回路は、請求項9に記載の半導体集積回路において、半導体集積回路の内部温度を測定し、当該測定温度と所定の温度補正係数とに基いて前記電流検出回路の測定値に対して補正を行い、当該補正値を前記電流検出回路の測定結果として前記コンパレータに対して出力する温度補正回路をさらに備えることを特徴とする。   A semiconductor integrated circuit according to a fourteenth aspect of the present invention is the semiconductor integrated circuit according to the ninth aspect, wherein an internal temperature of the semiconductor integrated circuit is measured, and the semiconductor integrated circuit is based on the measured temperature and a predetermined temperature correction coefficient. It further comprises a temperature correction circuit that corrects the measurement value of the current detection circuit and outputs the correction value to the comparator as a measurement result of the current detection circuit.

また、本発明の請求項15に係る半導体集積回路は、内部クロック信号に同期して動作する複数の機能回路ブロックで構成された半導体集積回路の静止電源電流を測定することにより当該半導体集積回路の故障を検出する半導体集積回路の故障検出方法において、内部クロックを供給する機能回路ブロックを一つ以上選択し、所定のデータ信号を印加することにより前記選択された機能回路ブロックのみ内部状態を変化させ、所定の内部状態における静止電源電流を測定し、静止電源電流の測定値と所定の基準値との比較を行うことにより被検査半導体集積回路の良否判定を行い、前記良否判定において被検査半導体集積回路の故障が検出されるか、あるいは予め定めた全ての機能回路ブロックが、内部クロックを供給する機能回路ブロックとして選択されて前記良否判定が行われるまで、前記機能回路ブロックの選択から被検査半導体集積回路の良否判定までの動作を行うことを特徴とする。   According to a fifteenth aspect of the present invention, there is provided a semiconductor integrated circuit that measures a quiescent power supply current of a semiconductor integrated circuit composed of a plurality of functional circuit blocks operating in synchronization with an internal clock signal. In a failure detection method for a semiconductor integrated circuit that detects a failure, one or more functional circuit blocks that supply an internal clock are selected, and a predetermined data signal is applied to change the internal state of only the selected functional circuit block. Measure the quiescent power supply current in a predetermined internal state and compare the measured value of the quiescent power supply current with a predetermined reference value to determine pass / fail of the semiconductor integrated circuit to be inspected. A functional circuit block in which a circuit failure is detected or all predetermined functional circuit blocks supply an internal clock Until the quality determination is performed is selected by, and performs the operation to quality judgment of the inspected semiconductor integrated circuit from a selection of said functional circuit blocks.

また、本発明の請求項16に係る半導体集積回路は、請求項15に記載の半導体集積回路のテスト方法において、前記被検査半導体集積回路の良否判定は、静止電源電流の測定値の最大値と最小値とを記憶し、当該最大値と最小値との差分値を求め、前記差分値と所定の基準値との比較を行うものであることを特徴とする。   According to a sixteenth aspect of the present invention, in the semiconductor integrated circuit test method according to the fifteenth aspect, the pass / fail judgment of the semiconductor integrated circuit to be inspected is determined by the maximum value of the measured value of the quiescent power supply current. The minimum value is stored, a difference value between the maximum value and the minimum value is obtained, and the difference value is compared with a predetermined reference value.

本発明の請求項1に係る半導体集積回路によれば、IDDQテスト時に内部状態を変化させる機能回路ブロックを選択し、当該機能回路ブロックに対してのみ動作クロックを供給することとしたので、従来の半導体集積回路に比べて簡易な構造によりバックグラウンド電流が静止電源電流の測定に与える影響を除去することができ、高精度なIDDQテストの実施が可能となる。また選択された機能回路ブロックのみがテスト対象になるため、故障検出時に故障箇所を容易に特定することが可能となる。   According to the semiconductor integrated circuit of the first aspect of the present invention, the functional circuit block whose internal state is changed during the IDDQ test is selected and the operation clock is supplied only to the functional circuit block. The influence of the background current on the measurement of the quiescent power supply current can be eliminated with a simple structure as compared with the semiconductor integrated circuit, and a highly accurate IDDQ test can be performed. Further, since only the selected functional circuit block is a test target, it is possible to easily identify the failure location when a failure is detected.

また、本発明の請求項2に係る半導体集積回路によれば、請求項1に係る半導体集積回路において外部入力としていた前記制御信号を、半導体集積回路の内部で生成することとし、所定の周期で当該制御信号の出力を切り替えることとしたので、制御信号入力のための外部入力端子を削減することが可能となる。   According to a second aspect of the present invention, in the semiconductor integrated circuit according to the first aspect, the control signal used as an external input in the semiconductor integrated circuit according to the first aspect is generated inside the semiconductor integrated circuit, and is generated at a predetermined cycle. Since the output of the control signal is switched, external input terminals for control signal input can be reduced.

また、本発明の請求項3に係る半導体集積回路によれば、請求項2に記載の発明において、前記制御信号の出力状況を外部出力可能としたので、IDDQテストの良否判定時に出力されている制御信号を検出することにより、故障している機能回路ブロックの特定を容易に行うことが可能となる。   According to the semiconductor integrated circuit of claim 3 of the present invention, in the invention of claim 2, since the output state of the control signal can be externally output, it is output at the time of pass / fail judgment of the IDDQ test. By detecting the control signal, it is possible to easily identify the malfunctioning functional circuit block.

また、本発明の請求項4に係る半導体集積回路によれば、請求項2に記載の発明において、前記制御信号の出力期間を機能回路ブロック毎に可変制御することとしたので、複雑な機能回路ブロックについては長時間内部状態を変化させ、簡単な機能回路ブロックについては短時間内部状態を変化させることができ、これによりIDDQテスト時のトグル率の向上、及びテスト時間の短縮を図ることが可能となる。   According to a semiconductor integrated circuit of a fourth aspect of the present invention, in the invention of the second aspect, the output period of the control signal is variably controlled for each functional circuit block. The internal state of a block can be changed for a long time, and the internal state of a simple functional circuit block can be changed for a short time. This can improve the toggle rate during IDDQ testing and shorten the test time. It becomes.

また、本発明の請求項5に係る半導体集積回路によれば、請求項1ないし請求項4の何れかに記載の発明において、前記機能回路ブロックのそれぞれをスキャンチェーンとして構成しているので、目標とするトグル率に達成するための静止電源電流測定回数を減少させることができ、テスト時間を短縮することが可能となる。   According to the semiconductor integrated circuit of claim 5 of the present invention, since each of the functional circuit blocks is configured as a scan chain in the invention according to any one of claims 1 to 4, the target The number of times of quiescent power supply current measurement for achieving the toggle rate can be reduced, and the test time can be shortened.

また、本発明の請求項6に係る半導体集積回路によれば、請求項5に記載の発明において、2つ以上の機能回路ブロックについてはスキャンイン端子を共有させることとしたので、例えば、複雑な機能回路ブロックについては独立したスキャンイン端子を設け、簡単な機能回路ブロックが複数ある場合についてはスキャンイン端子を共有させることにより、スキャンデータの長さを長大にすることなくスキャンイン端子の数を削除することが可能となる。   According to the semiconductor integrated circuit of the sixth aspect of the present invention, in the invention of the fifth aspect, the scan-in terminal is shared for two or more functional circuit blocks. By providing independent scan-in terminals for functional circuit blocks, and sharing multiple scan-in terminals when there are multiple simple functional circuit blocks, the number of scan-in terminals can be increased without increasing the length of scan data. It can be deleted.

また、本発明の請求項7に係る半導体集積回路によれば、請求項5に記載の発明において、スキャンデータを当該機能回路ブロック自身で生成することとし、各機能回路ブロックは、キャプチャ信号を受けてキャプチャ動作をさせることとしたので、スキャンデータ作成のためのパターンジェネレータが不要になるとともに、スキャンイン、及びスキャンアウトに要する外部入力端子、及び外部出力端子の削減が可能となる。   According to a seventh aspect of the present invention, in the semiconductor integrated circuit according to the fifth aspect, the scan data is generated by the functional circuit block itself, and each functional circuit block receives a capture signal. Since the capture operation is performed, a pattern generator for creating scan data becomes unnecessary, and external input terminals and external output terminals required for scan-in and scan-out can be reduced.

また、本発明の請求項8に係る半導体集積回路によれば、請求項7に記載の発明において、前記キャプチャ信号を内部生成することとしたので、キャプチャ信号入力端子の削減が可能となる。   According to the semiconductor integrated circuit of claim 8 of the present invention, since the capture signal is generated internally in the invention of claim 7, the number of capture signal input terminals can be reduced.

また、本発明の請求項9に係る半導体集積回路によれば、請求項1ないし請求項8の何れかに記載の発明において、IDDQテストに使用する電流検出回路と、当該電流検出回路の測定値と所定の基準値とを比較するコンパレータを内蔵することとし、当該電流検出回路はトリガ信号の出力を受けて、所定のタイミングで静止電源電流を測定することとしたので、外部の電流検出回路を使用する場合よりもインダクタンス成分を抑えることができ、従来と比べて高速な静止電源電流の測定が可能となる。また、外部に電流検出回路が不要となるため、MCMパッケージング後や基板実装後など、集積回路ごとに電流検出回路の接続が困難な環境においても、IDDQテストの実施が可能となる。   According to a ninth aspect of the present invention, in the semiconductor integrated circuit according to any one of the first to eighth aspects, the current detection circuit used for the IDDQ test and the measured value of the current detection circuit And a predetermined reference value are built in, and the current detection circuit receives the output of the trigger signal and measures the quiescent power supply current at a predetermined timing. The inductance component can be suppressed as compared with the case of using it, and the quiescent power supply current can be measured faster than the conventional case. Further, since an external current detection circuit is not required, the IDDQ test can be performed even in an environment where it is difficult to connect the current detection circuit for each integrated circuit, such as after MCM packaging or after mounting on a substrate.

また、本発明の請求項10に係る半導体集積回路によれば、請求項9に記載の発明において、前記トリガ信号を半導体集積回路内部で生成することとしたので、当該半導体集積回路の外部入力端子の削減が可能となる。   According to the semiconductor integrated circuit of claim 10 of the present invention, in the invention of claim 9, since the trigger signal is generated inside the semiconductor integrated circuit, the external input terminal of the semiconductor integrated circuit Can be reduced.

また、本発明の請求項11に係る半導体集積回路によれば、請求項9に記載の発明において、前記コンパレータに出力する前記基準値を、半導体集積回路内の記憶回路に格納することとしたので、当該半導体集積回路の外部入力端子の削減が可能となる。   According to the semiconductor integrated circuit of claim 11 of the present invention, in the invention of claim 9, the reference value output to the comparator is stored in a memory circuit in the semiconductor integrated circuit. Thus, the number of external input terminals of the semiconductor integrated circuit can be reduced.

また、本発明の請求項12に係る半導体集積回路によれば、請求項9に記載の発明において、測定した静止電源電流の最大値と最小値との差分値を使用してIDDQテストを行うこととしたので、静止電源電流の測定値に占めるバックグラウンド電流の影響が大きく、静止電源電流の測定値そのものに対してIDDQテストの良否判定基準を設けることが困難な場合であっても、高精度なIDDQテストの実施が可能となる。   According to the semiconductor integrated circuit of the twelfth aspect of the present invention, in the invention of the ninth aspect, the IDDQ test is performed using a difference value between the maximum value and the minimum value of the measured quiescent power supply current. As a result, the influence of the background current on the measured value of the quiescent power supply current is large, and even when it is difficult to set the pass / fail judgment criteria of the IDDQ test for the measured value of the quiescent power supply current itself, it is highly accurate. IDDQ test can be performed.

また、本発明の請求項13に係る半導体集積回路によれば、請求項9に記載の発明において、電源電流の変化量が所定の値以下に達したときに出力される測定許可信号により静止電源電流の測定を開始することとしたので、静止電源電流の測定に適する定常状態になるまで不必要に長時間待つ必要がなくなり、IDDQテスト時間の大幅な短縮が可能となる。   Further, according to a semiconductor integrated circuit of a thirteenth aspect of the present invention, in the invention according to the ninth aspect, a stationary power supply is generated by a measurement permission signal output when the amount of change in the power supply current reaches a predetermined value or less. Since the current measurement is started, it is not necessary to wait unnecessarily for a long time until the steady state suitable for the measurement of the quiescent power supply current is reached, and the IDDQ test time can be greatly shortened.

また、本発明の請求項14に係る半導体集積回路によれば、請求項9に記載の発明において、半導体集積回路内部の温度を測定することにより静止電源電流の測定値に対して温度補正を行うこととしたため、静止電源電流が有する温度特性を考慮することなくIDDQテストの実施を行うことが可能となる。   According to a fourteenth aspect of the present invention, in the semiconductor integrated circuit according to the ninth aspect, the temperature correction is performed on the measured value of the quiescent power supply current by measuring the temperature inside the semiconductor integrated circuit. Therefore, the IDDQ test can be performed without considering the temperature characteristics of the quiescent power supply current.

以下本発明の実施の形態について、図面を参照しながら説明する。
(実施の形態1)
図1は、本発明の実施の形態1における半導体集積回路100Aの構成を示すブロック図である。なお、先に説明した図19と同じ構成要素については同じ符号を用い、説明を省略する。
Embodiments of the present invention will be described below with reference to the drawings.
(Embodiment 1)
FIG. 1 is a block diagram showing a configuration of a semiconductor integrated circuit 100A according to the first embodiment of the present invention. In addition, the same code | symbol is used about the same component as FIG. 19 demonstrated previously, and description is abbreviate | omitted.

図1において、クロック供給選択回路10は、半導体集積回路100Aを構成する複数の機能回路ブロックの中から、外部端子を介して取り込んだクロック信号を供給する1つ以上の機能回路ブロックを外部入力した制御信号に基づいて選択し、当該選択された機能回路ブロックに対してクロック信号を供給するものである。クロック供給選択回路10は、クロック供給端子301から機能回路ブロック102〜104へのクロック供給経路上に設けられており、クロック供給経路202を介してクロック供給端子301から外部クロック信号を取り込む。また、取り込んだ外部クロック信号を内部クロックとして機能回路ブロック102〜104へと供給するために、独立した機能回路ブロック用クロック供給経路(以下、ブロック用クロック供給経路と称する)207〜209を介して機能回路ブロック102〜104に接続されている。   In FIG. 1, the clock supply selection circuit 10 externally inputs one or more functional circuit blocks for supplying a clock signal taken in via an external terminal from among a plurality of functional circuit blocks constituting the semiconductor integrated circuit 100A. A selection is made based on the control signal, and a clock signal is supplied to the selected functional circuit block. The clock supply selection circuit 10 is provided on a clock supply path from the clock supply terminal 301 to the functional circuit blocks 102 to 104, and takes in an external clock signal from the clock supply terminal 301 via the clock supply path 202. In addition, in order to supply the external clock signal thus fetched to the functional circuit blocks 102 to 104 as an internal clock, via independent functional circuit block clock supply paths (hereinafter referred to as block clock supply paths) 207 to 209. The functional circuit blocks 102 to 104 are connected.

クロック供給制御端子304,305は、当該端子からクロック供給選択回路10に対して制御信号を出力するものである。本実施の形態1においてはクロック供給制御端子304,305はそれぞれ1ビットの信号を出力し、当該2つの信号の組み合わせによる2ビットの制御信号に基づきクロック供給選択回路10の制御を行うこととしている。クロック供給制御端子304,305はクロック供給制御経路210,211を介してクロック供給選択回路10に接続されている。   The clock supply control terminals 304 and 305 output control signals from the terminals to the clock supply selection circuit 10. In the first embodiment, each of the clock supply control terminals 304 and 305 outputs a 1-bit signal, and controls the clock supply selection circuit 10 based on a 2-bit control signal based on a combination of the two signals. . The clock supply control terminals 304 and 305 are connected to the clock supply selection circuit 10 via clock supply control paths 210 and 211.

次に、以上のように構成された半導体集積回路100Aの動作について図2を用いて説明する。
図2は本実施の形態1に記載の半導体集積回路100Aの動作を示すタイミングチャートである。図2において、CLK202は、クロック供給端子301から入力されたクロック信号を、CLKCNT210,211は、クロック供給制御端子304,305が出力する制御信号の出力内容を、207,208,209は、クロック供給選択回路10が機能回路ブロック102,103,104へ出力するクロック信号の出力状況を、102,103,104,の内部状態は、機能回路ブロック102〜104の内部状態の模式図を、測定タイミング は、IDDQテストを測定するポイントをそれぞれ示している。
Next, the operation of the semiconductor integrated circuit 100A configured as described above will be described with reference to FIG.
FIG. 2 is a timing chart showing the operation of the semiconductor integrated circuit 100A described in the first embodiment. In FIG. 2, CLK 202 is a clock signal input from the clock supply terminal 301, CLKCNT 210 and 211 are output contents of control signals output from the clock supply control terminals 304 and 305, and 207, 208 and 209 are clock supplies. The output state of the clock signal output from the selection circuit 10 to the functional circuit blocks 102, 103, 104 is shown. The internal state of 102, 103, 104 is a schematic diagram of the internal state of the functional circuit blocks 102-104. , Points for measuring the IDDQ test are shown.

まず、クロック供給選択回路10はクロック供給制御端子304,305から入力された制御信号の組み合わせにより、クロック供給端子301から取り込んだ外部クロック信号を供給する機能回路ブロックを選択する。   First, the clock supply selection circuit 10 selects a functional circuit block that supplies an external clock signal fetched from the clock supply terminal 301 based on a combination of control signals input from the clock supply control terminals 304 and 305.

例えば、CLKCNT210,211で示すようにクロック供給制御端子304,305から入力した制御信号が305:304=00である場合は、クロック供給選択回路10が選択する機能回路ブロックが102となるように予めクロック供給選択回路10に設定し、同様に305:304=01の場合は機能回路ブロック103を、305:304=10の場合は機能回路ブロック104をそれぞれ選択するものと設定する。   For example, when the control signal input from the clock supply control terminals 304 and 305 is 305: 304 = 00 as indicated by CLKCNT 210 and 211, the functional circuit block selected by the clock supply selection circuit 10 is 102 in advance. Similarly, when the clock supply selection circuit 10 is set, the function circuit block 103 is selected when 305: 304 = 01, and the function circuit block 104 is selected when 305: 304 = 10.

これにより、クロック供給制御端子304,305からの制御信号が00であれば、クロック供給選択回路10は機能回路ブロック102に対してのみ内部クロックを供給し、その内部状態を変化させることができる。制御信号を01に切り替えれば、クロック供給選択回路10は機能回路ブロック102へのクロック信号の供給を停止し、替わりに機能回路ブロック103にのみ内部クロックを供給し、その内部状態を変化させることが可能となる。同様に制御信号を10に切り替えれば、クロック供給選択回路10は機能回路ブロック103へのクロック信号の供給を停止し、替わりに機能回路ブロック104にのみ内部クロックを供給し、その内部状態を変化させることが可能となる。   Thus, if the control signals from the clock supply control terminals 304 and 305 are 00, the clock supply selection circuit 10 can supply the internal clock only to the functional circuit block 102 and change its internal state. If the control signal is switched to 01, the clock supply selection circuit 10 stops supplying the clock signal to the functional circuit block 102, and instead supplies the internal clock only to the functional circuit block 103 to change its internal state. It becomes possible. Similarly, when the control signal is switched to 10, the clock supply selection circuit 10 stops the supply of the clock signal to the functional circuit block 103, and instead supplies the internal clock only to the functional circuit block 104 to change its internal state. It becomes possible.

次に、図3を用いて、以上の構成による半導体集積回路100Aを用いたIDDQテスト方法について説明する。
まず、ステップ1(以下S1と称する。ステップ2以降についても同じ)として、所定の制御信号をクロック供給制御端子304,305に印加して外部クロックが供給される機能回路ブロックを選択する。例えば、クロック供給制御端子304,305に対して305:304=01と印加する。これによりクロック供給先として機能回路ブロック103が選択される。
Next, an IDDQ test method using the semiconductor integrated circuit 100A having the above configuration will be described with reference to FIG.
First, as step 1 (hereinafter referred to as S1. The same applies to step 2 and subsequent steps), a predetermined control signal is applied to clock supply control terminals 304 and 305 to select a functional circuit block to which an external clock is supplied. For example, 305: 304 = 01 is applied to the clock supply control terminals 304 and 305. As a result, the functional circuit block 103 is selected as the clock supply destination.

次に、S2として、外部よりデータ入力端子300を介してテストパターンを印加し、S1で選択された機能回路ブロック103に対してクロック信号とデータ信号を供給する。これにより機能回路ブロック103のみその内部状態が変化し、クロック信号が供給されない機能回路ブロック102,104は定常状態を保つことになる。   Next, in S2, a test pattern is applied from the outside via the data input terminal 300, and a clock signal and a data signal are supplied to the functional circuit block 103 selected in S1. As a result, only the functional circuit block 103 changes its internal state, and the functional circuit blocks 102 and 104 to which no clock signal is supplied are kept in a steady state.

次に、S3として、機能回路ブロック103の内部状態を変化させた状態で静止電源電流を測定するポイントを予め定めておき、当該測定ポイントにおける静止電源電流を電流検出回路500にて測定する。例えば、本実施の形態1においては7つの測定ポイントでの測定を予定しており、それぞれのサイクルにおける静止電源電流を測定する。   Next, as S <b> 3, a point at which the quiescent power supply current is measured in a state where the internal state of the functional circuit block 103 is changed is determined in advance, and the quiescent power supply current at the measurement point is measured by the current detection circuit 500. For example, in the first embodiment, measurement at seven measurement points is scheduled, and the quiescent power supply current in each cycle is measured.

次に、S4として、S3の静止電源電流の測定結果に基き被測定半導体集積回路100Aの良否判定を行う。良否判定は電流検出回路500の測定結果と、所定の基準値とを比較することにより行う。
判定結果が否であれば被測定半導体集積回路内100Aに故障があると判断し、不良品としてIDDQテストを終了する。ここで、故障が検出されたときにトグルしているトランジスタが存在するのはS1において選択された機能回路ブロック103のみであることから、故障が発生した箇所についても機能回路ブロック103内に存在するものと特定できる。一方、判定結果が良である場合には、次ステップへと手順を進める。
Next, as S4, the quality of the semiconductor integrated circuit 100A to be measured is determined based on the measurement result of the quiescent power supply current in S3. The pass / fail judgment is performed by comparing the measurement result of the current detection circuit 500 with a predetermined reference value.
If the determination result is negative, it is determined that there is a failure in the semiconductor integrated circuit 100A to be measured, and the IDDQ test is terminated as a defective product. Here, since only the functional circuit block 103 selected in S1 exists toggling when a failure is detected, the location where the failure occurs also exists in the functional circuit block 103. Can be identified. On the other hand, if the determination result is good, the procedure proceeds to the next step.

次にS5として、機能回路ブロック103だけを内部変化させたときに、予め測定ポイントとして定めておいたすべての内部状態で静止電源電流を測定したか否かの確認を行う。例えば、本実施の形態1においては、予め定めた7サイクルの測定を全て行ったか否かの確認を行う。予定するすべての内部状態での測定が完了していた場合は次工程へ進み、完了していない場合にはS2へと帰還して、予定する全ての内部状態で静止電源電流を測定するまでS2、S3、及びS4を行う。S2への帰還後の手順については、上記の手順と同様であるため説明を省略する。   Next, in S5, it is confirmed whether or not the quiescent power supply current has been measured in all internal states previously determined as measurement points when only the functional circuit block 103 is internally changed. For example, in the first embodiment, it is confirmed whether or not all seven cycles of measurement are performed in advance. If the measurement in all the planned internal states has been completed, the process proceeds to the next step. If the measurement has not been completed, the process returns to S2 until the quiescent power supply current is measured in all the planned internal states. , S3, and S4. The procedure after returning to S2 is the same as the procedure described above, and thus the description thereof is omitted.

次にS6として、予定していた機能回路ブロックの選択がすべて完了したか否かの確認を行う。例えば、上記説明ではクロック信号が供給される機能回路ブロックは機能回路ブロック103であるが、機能回路ブロック104のみ内部状態を変化させた状態においてもIDDQテストを予定している場合には、クロック供給選択回路10が選択する機能回路ブロックを104に切り替える。この場合は、先に説明したように、クロック供給制御端子304、305に対して305:304=10と印加する。これによりクロック供給先として機能回路ブロック104を選択する。機能回路ブロック104の選択後の手順については、上記の手順と同様であるため説明を省略する。   Next, in S6, it is confirmed whether or not all of the planned functional circuit blocks have been selected. For example, in the above description, the functional circuit block to which the clock signal is supplied is the functional circuit block 103. However, when the IDDQ test is scheduled even in the state where only the functional circuit block 104 is changed, the clock supply is performed. The functional circuit block selected by the selection circuit 10 is switched to 104. In this case, as described above, 305: 304 = 10 is applied to the clock supply control terminals 304 and 305. As a result, the functional circuit block 104 is selected as the clock supply destination. Since the procedure after the selection of the functional circuit block 104 is the same as the procedure described above, the description thereof is omitted.

このように、機能回路ブロックの選択が複数の機能回路ブロックに及ぶ場合、その選択がすべて完了しているか否かの確認を行い、完了していない場合にはS1へ帰還し、外部クロックを供給する機能回路ブロックを切り替え、予定する全ての機能回路ブロックが選択され、良否判断がなされるまでS1からS6までのステップを繰り返し行う。一方、すべての機能回路ブロックの選択が完了した場合には、被測定半導体集積回路100Aは良品であると判定してIDDQテストを終了する。   As described above, when the selection of the functional circuit blocks extends to a plurality of functional circuit blocks, it is confirmed whether or not all the selections are completed. If not, the process returns to S1 and the external clock is supplied. Steps S1 to S6 are repeated until all the functional circuit blocks to be scheduled are selected, and all the functional circuit blocks to be planned are selected and a pass / fail judgment is made. On the other hand, when selection of all functional circuit blocks is completed, it is determined that the semiconductor integrated circuit 100A to be measured is a non-defective product, and the IDDQ test is terminated.

次に、上記の構成による半導体集積回路100Aを用いてIDDQテストを実施した際の効果を図4(a)〜図4(d)を用いて説明する。
図4(a),(b),(c)は、機能回路ブロック103のみ内部状態を変化させた場合における、機能回路ブロック102,103,104のそれぞれに流れる静止電源電流値を表したものであり、内部状態数(測定サイクル数)を横軸に取り、各測定サイクルにおける静止電源電流値を縦軸に取っている。図4(d)は図4(a),(b),(c)を加算した被測定集積回路101に流れる静止電源電流値であり、IDDQテストの際にはこの値を測定して被検査半導体集積回路100Aの良否判定を行う。
Next, the effect when the IDDQ test is performed using the semiconductor integrated circuit 100A having the above-described configuration will be described with reference to FIGS. 4 (a) to 4 (d).
4A, 4 </ b> B, and 4 </ b> C show the values of the static power supply currents flowing in the functional circuit blocks 102, 103, and 104 when the internal state of only the functional circuit block 103 is changed. Yes, the number of internal states (number of measurement cycles) is taken on the horizontal axis, and the quiescent power supply current value in each measurement cycle is taken on the vertical axis. FIG. 4 (d) shows the value of the quiescent power supply current flowing through the integrated circuit to be measured 101 obtained by adding FIGS. 4 (a), (b), and (c), and this value is measured during the IDDQ test. The quality of the semiconductor integrated circuit 100A is determined.

先の動作説明において述べたとおり、外部からクロック信号、及びデータ信号300を印加しても機能回路ブロック103しか内部状態は変化しないため、図4(a),(b),(c)に示すように静止電源電流の測定ばらつきが発生するのは前記機能回路ブロック103のみであり、内部状態が変化しない機能回路ブロック102,104では、バックグラウンド電流に基づく大きなばらつきは発生しない。したがって、図4(d)に示すように、機能回路ブロック103で発生した静止電源電流値のばらつきが、IDDQテスト時に測定する静止電源電流値のばらつきにそのまま反映されることになり、他の機能回路ブロックにおいて生じるバックグラウンド電流のばらつきの影響を受けることはない。   As described in the previous description of the operation, the internal state only changes in the functional circuit block 103 even when the clock signal and the data signal 300 are applied from the outside, and therefore, as shown in FIGS. 4 (a), 4 (b), and 4 (c). Thus, the measurement variation of the quiescent power supply current occurs only in the functional circuit block 103, and the functional circuit blocks 102 and 104 in which the internal state does not change does not cause a large variation based on the background current. Therefore, as shown in FIG. 4D, the variation in the quiescent power supply current value generated in the functional circuit block 103 is directly reflected in the variation in the quiescent power supply current value measured during the IDDQ test. It is not affected by variations in the background current generated in the circuit block.

このため、図4(b)に示すように、第3サイクル測定時に機能回路ブロック103において故障によるリーク電流増加が発生した場合においても、図4(d)に示すようにマージンをとることなく良否判定の基準値を設定することができるため、高精度なIDDQテストの実現が可能となる。   For this reason, as shown in FIG. 4B, even when an increase in leakage current due to a failure occurs in the functional circuit block 103 during the third cycle measurement, it is acceptable without taking a margin as shown in FIG. Since the determination reference value can be set, a highly accurate IDDQ test can be realized.

以上のように、本実施の形態1の半導体集積回路100Aによれば、IDDQテストを行う際に、特定の機能回路ブロックに対してのみ動作クロックを供給し、当該機能回路ブロックの内部状態だけを変化させて静止電源電流を測定するので、バックグラウンド電流のばらつきによる影響を容易に除去することができ、高精度なIDDQテストの実施が可能となる。また選択された機能回路ブロックのみがテスト対象になるため、故障検出時に故障箇所を容易に特定することが可能となる。   As described above, according to the semiconductor integrated circuit 100A of the first embodiment, when performing the IDDQ test, the operation clock is supplied only to a specific functional circuit block, and only the internal state of the functional circuit block is determined. Since the quiescent power supply current is measured while being changed, the influence due to the variation in the background current can be easily removed, and a highly accurate IDDQ test can be performed. Further, since only the selected functional circuit block is a test target, it is possible to easily identify the failure location when a failure is detected.

なお、上記実施の形態1においては、半導体集積回路100Aを構成する機能回路ブロック数が3つの場合について説明したが、N個の機能回路ブロックで構成する半導体集積回路であっても、クロック供給選択回路10に対して出力する制御信号のビット数、及びブロック用クロック供給経路を増加させることにより本実施の形態と同様の効果を得ることができる。   In the first embodiment, the case where the number of functional circuit blocks constituting the semiconductor integrated circuit 100A is three has been described. However, even if the semiconductor integrated circuit is composed of N functional circuit blocks, clock supply selection is performed. By increasing the number of bits of the control signal output to the circuit 10 and the block clock supply path, the same effect as in the present embodiment can be obtained.

また、クロック供給選択回路10の制御のために、クロック供給制御端子を2つ設けているが、シリアルに制御信号を伝達するなどの手段でクロック供給制御端子の数を削減してもよい。   Further, although two clock supply control terminals are provided for controlling the clock supply selection circuit 10, the number of clock supply control terminals may be reduced by means such as serially transmitting a control signal.

また、上記実施の形態1においては、クロック供給選択回路10が選択する機能回路ブロックが1つの場合について説明したが、テスト時間短縮などのため複数の機能回路ブロックを選択してもよい。   In the first embodiment, the case where the clock supply selection circuit 10 selects one functional circuit block has been described. However, a plurality of functional circuit blocks may be selected in order to shorten the test time.

(実施の形態2)
図5は、本発明の実施の形態2における半導体集積回路100Bの構成を示すブロック図である。図5において、図1と同じ構成要素については同じ符号を用い、説明を省略する。
図5において、12はクロック供給端子301から入力されるクロック信号に同期して動作するカウンタを表す。11は2ビットの制御信号を生成し、当該制御信号をクロック供給選択回路10に対して出力する制御信号生成回路であり、カウンタ12の出力値をカウンタ出力経路213を介して入力とする。なお当該制御信号は、前記実施の形態1において説明した制御信号と同様の制御を行うものである。
(Embodiment 2)
FIG. 5 is a block diagram showing a configuration of the semiconductor integrated circuit 100B according to the second embodiment of the present invention. In FIG. 5, the same components as those in FIG.
In FIG. 5, reference numeral 12 denotes a counter that operates in synchronization with a clock signal input from the clock supply terminal 301. A control signal generation circuit 11 generates a 2-bit control signal and outputs the control signal to the clock supply selection circuit 10. The output value of the counter 12 is input via the counter output path 213. The control signal performs the same control as the control signal described in the first embodiment.

次に、以上のように構成された半導体集積回路100Bの動作について図6を用いて説明する。
図6は実施の形態2の動作を示すタイミングチャートを表す。CLK202は、クロック供給端子301から入力されたクロック信号を、COUNTERは、カウンタ12の出力値を、CLKCNT212は、制御信号生成回路11が出力する制御信号の出力内容をそれぞれ表す。
Next, the operation of the semiconductor integrated circuit 100B configured as described above will be described with reference to FIG.
FIG. 6 is a timing chart showing the operation of the second embodiment. CLK202 represents the clock signal input from the clock supply terminal 301, COUNTER represents the output value of the counter 12, and CLKCNT212 represents the output content of the control signal output from the control signal generation circuit 11.

まず、カウンタ12は外部より取り込んだクロック信号に同期してカウントアップを行い、制御信号生成回路11に対してその値を出力する。制御信号生成回路11は、00から11までの2ビットの制御信号を生成しており、前記カウンタ12が出力するカウント値が予め定めた値に達する毎に、クロック供給選択回路10への前記制御信号の出力内容を切り替える。   First, the counter 12 counts up in synchronization with a clock signal fetched from the outside, and outputs the value to the control signal generation circuit 11. The control signal generation circuit 11 generates a 2-bit control signal from 00 to 11, and every time the count value output from the counter 12 reaches a predetermined value, the control to the clock supply selection circuit 10 is performed. Switches the signal output contents.

例えば、制御信号の出力周期としてNを設定したとすると、CLKCNT212に示すように、制御信号生成回路11はカウンタ12の出力値が0〜N−1を示す期間は00を出力し、N〜2N−1を示す期間は01を出力し、2N〜2N−1を示す期間は10を出力する。   For example, if N is set as the output cycle of the control signal, the control signal generation circuit 11 outputs 00 during the period in which the output value of the counter 12 indicates 0 to N−1, as indicated by CLKCNT212, and N to 2N. -1 is output during the period −1, and 10 is output during the period 2N to 2N−1.

ここで、前記2ビットの制御信号の組み合わせに関して、00は機能回路ブロック102を選択し、01は機能クロック回路103を選択し、10は機能クロック回路104を選択するものと予めクロック供給選択装置10に設定しておけば、クロック供給選択装置10は周期N毎に、内部クロックを供給する機能回路ブロックを、機能回路ブロック102→103→104と切り替えていくことになる。以降の半導体集積回路100Bの動作については、前記本発明の実施の形態1と同様であるために説明を省略する。   Here, regarding the combination of the 2-bit control signals, 00 selects the functional circuit block 102, 01 selects the functional clock circuit 103, 10 selects the functional clock circuit 104, and the clock supply selection device 10 in advance. The clock supply selection device 10 switches the functional circuit block that supplies the internal clock from the functional circuit blocks 102 to 103 to 104 every cycle N. Since the subsequent operation of the semiconductor integrated circuit 100B is the same as that of the first embodiment of the present invention, the description thereof is omitted.

以上のように、本実施の形態2の半導体集積回路100Bによれば、制御信号を半導体集積回路100Bの内部で生成することとし、所定の周期で当該制御信号の出力を切り替えることとしたので、前記実施の形態1において必要とされていた制御信号入力端子を削減することが可能となり、外部からの制御信号の入力動作も必要なくなる。   As described above, according to the semiconductor integrated circuit 100B of the second embodiment, the control signal is generated inside the semiconductor integrated circuit 100B, and the output of the control signal is switched at a predetermined cycle. It is possible to reduce the number of control signal input terminals required in the first embodiment, and it is not necessary to input control signals from the outside.

なお、上記実施の形態2においては、クロック供給選択回路10は2ビットのクロック供給制御信号を出力しているため、選択する機能回路ブロックについては4通りの組み合わせとなるが、Nビットのクロック供給制御信号を出力することで2N通りの選択の組み合わせを実現できる。 In the second embodiment, since the clock supply selection circuit 10 outputs a 2-bit clock supply control signal, there are four combinations of functional circuit blocks to be selected. By outputting a control signal, 2 N selection combinations can be realized.

また、上記実施の形態2においては、クロック供給選択回路10への制御信号の出力を切り替えるタイミングの生成手段として、アップカウンタを使用したが、ダウンカウンタを用いることも可能である。例えば、予め初期設定値Nを定めておき、Nからカウントダウンを行い、カウントダウンが完了した時点でクロック供給制御信号の出力を切り替えるようにすることも可能である。   In the second embodiment, the up counter is used as the timing generation means for switching the output of the control signal to the clock supply selection circuit 10, but a down counter can also be used. For example, it is possible to set an initial set value N in advance, count down from N, and switch the output of the clock supply control signal when the countdown is completed.

(実施の形態3)
図7は、本発明の実施の形態3における半導体集積回路100Cの構成を示すブロック図である。図7において、図5と同じ構成要素については同じ符号を用い、説明を省略する。
図7において、306は制御信号生成回路11がクロック供給選択回路10へ出力する制御信号を外部出力可能とするクロック制御信号出力端子であり、図6のCLKCNT212に示す信号が出力される。
(Embodiment 3)
FIG. 7 is a block diagram showing a configuration of a semiconductor integrated circuit 100C in the third embodiment of the present invention. In FIG. 7, the same components as those in FIG.
In FIG. 7, reference numeral 306 denotes a clock control signal output terminal that allows the control signal output from the control signal generation circuit 11 to the clock supply selection circuit 10 to be externally output, and a signal indicated by CLKCNT 212 in FIG. 6 is output.

次に、以上の構成による半導体集積回路100Cの動作について説明する。まず、クロック供給制御端子304,305から入力した制御信号が305:304=00である場合は、クロック供給選択回路10は機能回路ブロック102を選択し、305:304=01の場合は機能回路ブロック103を、305:304=10の場合は機能回路ブロック104をそれぞれ選択するものとクロック供給選択装置10に設定し、かかる設定のもとで実施の形態1において説明したIDDQテストを行う。   Next, the operation of the semiconductor integrated circuit 100C having the above configuration will be described. First, when the control signal input from the clock supply control terminals 304 and 305 is 305: 304 = 00, the clock supply selection circuit 10 selects the functional circuit block 102, and when 305: 304 = 01, the functional circuit block is selected. 103 and 305: 304 = 10, the function circuit block 104 is selected and the clock supply selection device 10 is set, and the IDDQ test described in the first embodiment is performed based on such setting.

IDDQテストの良否判定において、被検査半導体集積回路100Cが不良であると判定された場合、当該不良検出時にクロック供給制御端子304,305が出力していた制御信号をクロック制御信号出力端子306から検出する。このときに例えば、制御信号01が検出されたとすれば、不良判定の根拠となる異常静止電源電流は、内部状態が変化している機能ブロック103の内部における故障が原因であるものと判断することができ、被検査半導体集積回路100Cの故障箇所も機能回路ブロック103に存在するものと容易に特定することが可能となる。   When it is determined that the semiconductor integrated circuit 100C is defective in the quality determination of the IDDQ test, the control signal output from the clock supply control terminals 304 and 305 when the defect is detected is detected from the clock control signal output terminal 306. To do. At this time, for example, if the control signal 01 is detected, it is determined that the abnormal quiescent power supply current that is the basis for the failure determination is caused by a failure inside the functional block 103 whose internal state is changing. Therefore, it is possible to easily specify that the failure location of the semiconductor integrated circuit 100C to be inspected also exists in the functional circuit block 103.

以上のように本実施の形態3の半導体集積回路によれば、IDDQテストの良否判定において故障が検出された場合、故障が検出された時点において出力されている制御信号を、クロック制御信号出力端子306から検出することにより、半導体集積回路の故障箇所を容易に特定することが可能となる。   As described above, according to the semiconductor integrated circuit of the third embodiment, when a failure is detected in the quality determination of the IDDQ test, the control signal output at the time when the failure is detected is used as the clock control signal output terminal. By detecting from 306, it becomes possible to easily identify the failure location of the semiconductor integrated circuit.

(実施の形態4)
図8は、本発明の実施の形態3における半導体集積回路100Dの構成を示すブロック図である。図8において、図5と同じ構成要素については同じ符号を用い、説明を省略する。
図8において、記憶回路13は、制御信号生成回路11が制御信号を出力する期間を示す周期設定値を1つ以上格納している。当該記憶回路13は、後述するアドレス生成回路14から所定のタイミングで出力されるアドレス信号を受けて、当該アドレス信号に対応する周期設定値を制御信号生成回路11、及びアドレス生成回路14に対して出力する。記憶回路13は、データ経路214を介して前記制御信号生成回路11、及びアドレス生成回路14に接続されている。
(Embodiment 4)
FIG. 8 is a block diagram showing a configuration of a semiconductor integrated circuit 100D in the third embodiment of the present invention. 8, the same components as those in FIG. 5 are denoted by the same reference numerals, and the description thereof is omitted.
In FIG. 8, the storage circuit 13 stores one or more period setting values indicating periods during which the control signal generation circuit 11 outputs a control signal. The storage circuit 13 receives an address signal output at a predetermined timing from an address generation circuit 14 to be described later, and sends a cycle setting value corresponding to the address signal to the control signal generation circuit 11 and the address generation circuit 14. Output. The storage circuit 13 is connected to the control signal generation circuit 11 and the address generation circuit 14 through a data path 214.

アドレス生成回路14はカウンタ12の出力信号、及び記憶回路13が出力した周期設定値を入力とし、記憶回路13に対し所定のタイミングでアドレス信号を出力する。当該アドレス信号は、記憶回路13に格納される周期設定値にそれぞれ対応するアドレスであり、アドレス生成回路14の出力するアドレス信号が切り替えられることにより、記憶回路13の出力する周期設定値も切り替わる。アドレス生成回路14は、アドレス経路215を介して記憶回路13に接続されている。   The address generation circuit 14 receives the output signal of the counter 12 and the cycle setting value output from the storage circuit 13 and outputs an address signal to the storage circuit 13 at a predetermined timing. The address signal is an address corresponding to each cycle setting value stored in the memory circuit 13, and the cycle setting value output from the memory circuit 13 is switched by switching the address signal output from the address generation circuit 14. The address generation circuit 14 is connected to the storage circuit 13 via the address path 215.

上記構成による半導体集積回路100Dの動作を、図9を用いて説明する。
図9は実施の形態4の動作を示すタイミングチャートである。なお、以下の説明は本実施の形態4に係る半導体集積回路100Dが動作している一過程を説明するものであり、タイミングチャートの始点が当該半導体集積回路100Dの動作開始点を示すものではない。
The operation of the semiconductor integrated circuit 100D having the above configuration will be described with reference to FIG.
FIG. 9 is a timing chart showing the operation of the fourth embodiment. The following description explains one process in which the semiconductor integrated circuit 100D according to the fourth embodiment is operating, and the start point of the timing chart does not indicate the operation start point of the semiconductor integrated circuit 100D. .

図9において、CLK202は、クロック供給端子301から入力されたクロック信号を、COUNTERは、カウンタ12の出力値を、ROM ADR215は、アドレス生成回路14が記憶回路13へと出力するアドレス信号の内容を、ROM DATA214は、記憶回路13が制御信号生成回路11、及びアドレス生成回路14へと出力する周期設定値を、CLKCNTは、制御信号生成回路11が出力する制御信号の内容をそれぞれ表す。   In FIG. 9, CLK 202 is a clock signal input from the clock supply terminal 301, COUNTER is an output value of the counter 12, and ROM ADR 215 is a content of the address signal output from the address generation circuit 14 to the storage circuit 13. ROM DATA 214 represents a cycle setting value output from the storage circuit 13 to the control signal generation circuit 11 and the address generation circuit 14, and CLKCNT represents the content of the control signal output from the control signal generation circuit 11.

まず、アドレス生成回路14は、記憶回路13に対して、例えばアドレス信号 0を出力する。記憶回路13のアドレス0にNという周期設定値が格納されている場合、記憶回路13は、アドレス生成回路14から出力されるアドレス信号0を受けて、周期設定値としてNを制御信号生成回路11、及びアドレス生成回路14へと出力する。   First, the address generation circuit 14 outputs, for example, an address signal 0 to the storage circuit 13. When the cycle setting value N is stored at address 0 of the storage circuit 13, the storage circuit 13 receives the address signal 0 output from the address generation circuit 14 and sets N as the cycle setting value to the control signal generation circuit 11. And output to the address generation circuit 14.

制御信号生成回路11は、記憶回路13からの周期設定値Nの出力を受けて、制御信号出力期間としてNを設定し、カウンタ12から出力されるカウント値がN−1に達するまでCLKCNTに示すように制御信号01を出力し続ける。したがって、制御信号のビットデータ01が機能回路ブロック102を選択するものと設定してある場合は、カウント値がN−1に達するまで機能回路ブロック102のみその内部状態を変化させることができる。   The control signal generation circuit 11 receives the output of the cycle setting value N from the storage circuit 13, sets N as the control signal output period, and indicates the value in CLKCNT until the count value output from the counter 12 reaches N-1. Thus, the control signal 01 is continuously output. Therefore, when the bit data 01 of the control signal is set to select the functional circuit block 102, only the functional circuit block 102 can change its internal state until the count value reaches N-1.

その一方で、カウント値がNに達すると、制御信号生成回路11は制御信号の出力を以下に説明するように切り替える。
すなわち、前記記憶回路13が出力したデータNは、アドレス生成回路14に対しても同時に出力されているため、アドレス生成回路14はカウンタ12から出力されるカウント値がNに達すると新たなアドレス信号を生成し、例えば、新たなアドレス信号1を記憶回路13に対して出力する。
記憶回路13のアドレス1にN+Mというデータが格納されている場合、記憶回路13は、アドレス生成回路14が新たに出力したアドレス信号1を受けて、周期設定値としてN+Mを制御信号生成回路11、及びアドレス生成回路14へと出力する。
On the other hand, when the count value reaches N, the control signal generation circuit 11 switches the output of the control signal as described below.
That is, since the data N output from the storage circuit 13 is also output to the address generation circuit 14 at the same time, the address generation circuit 14 can generate a new address signal when the count value output from the counter 12 reaches N. For example, a new address signal 1 is output to the memory circuit 13.
When data N + M is stored at address 1 of the storage circuit 13, the storage circuit 13 receives the address signal 1 newly output by the address generation circuit 14, and sets N + M as the cycle setting value to the control signal generation circuit 11, And output to the address generation circuit 14.

制御信号生成回路11は、制御信号出力期間としてN+Mを設定し、前記カウンタ12から出力されるカウント値がN+M−1に達するまで制御信号10を出力し続ける。したがって、制御信号のビットデータ10が機能回路ブロック103を選択するものと設定している場合は、カウント値がNからN+M−1に達するまで機能回路ブロック103のみその内部状態を変化させることができる。   The control signal generation circuit 11 sets N + M as the control signal output period, and continues to output the control signal 10 until the count value output from the counter 12 reaches N + M-1. Therefore, if the bit data 10 of the control signal is set to select the functional circuit block 103, only the functional circuit block 103 can change its internal state until the count value reaches N + N + M-1. .

その一方で、カウント値がN+Mに達すると、制御信号生成回路11は制御信号の出力を上記説明と同じように切り替える。
すなわち、前記記憶回路13が出力したデータN+Mは、アドレス生成回路14に対しても同時に出力されているため、アドレス生成回路14はカウンタ12から出力されるカウント値がN+Mに達すると新たなアドレス信号を生成し、例えば、新たなアドレス信号2を記憶回路13に対して出力する。ここで、アドレス2に対応する周期設定値がN+M+Lであり、制御信号のビットデータ11に対応する機能回路ブロックが機能回路ブロック104である場合は、カウンタ12が出力するカウント値がN+MからN+M+L−1に達するまで、機能回路ブロック104のみその内部状態を変化させることができる。
On the other hand, when the count value reaches N + M, the control signal generation circuit 11 switches the output of the control signal in the same manner as described above.
That is, since the data N + M output from the storage circuit 13 is also output to the address generation circuit 14 at the same time, when the count value output from the counter 12 reaches N + M, the address generation circuit 14 generates a new address signal. For example, a new address signal 2 is output to the storage circuit 13. Here, when the cycle setting value corresponding to the address 2 is N + M + L and the functional circuit block corresponding to the bit data 11 of the control signal is the functional circuit block 104, the count value output by the counter 12 is from N + M to N + M + L−. Until it reaches 1, only the functional circuit block 104 can change its internal state.

以上のような本実施の形態4の半導体集積回路100Dを用いてIDDQテストを行えば、記憶回路13に予め任意の周期設定値を記憶させておき、前記クロック供給選択回路10が制御信号を出力する期間を、機能回路ブロック毎に可変制御することが可能となる。したがって例えば、複雑な回路にて構成された機能回路ブロックへのクロック供給時は、数多くの内部状態にて静止電源電流の測定を行いたいので周期設定値として大きな値を設定し、比較的簡単な回路構成をもつ機能回路ブロックへのクロック供給時には、複数の内部状態にて静止電源電流を測定する必要がないので、周期設定値として小さな値を設定する、などによって、クロック供給期間を機能回路ブロックごとに制御し、無駄な内部状態変化を削減することができ、IDDQテスト時におけるトグル率の向上を図ることが可能となる。   When an IDDQ test is performed using the semiconductor integrated circuit 100D of the fourth embodiment as described above, an arbitrary cycle set value is stored in advance in the storage circuit 13, and the clock supply selection circuit 10 outputs a control signal. This period can be variably controlled for each functional circuit block. Therefore, for example, when supplying a clock to a functional circuit block composed of complex circuits, we want to measure the quiescent power supply current in a number of internal states. When supplying a clock to a functional circuit block with a circuit configuration, there is no need to measure the quiescent power supply current in multiple internal states, so the clock supply period can be set by setting a small value as the cycle setting value. It is possible to reduce the useless internal state change and improve the toggle rate during the IDDQ test.

なお、本実施の形態4においては、記憶回路13に格納するデータが、制御信号生成回路11が制御信号を出力する期間を表す周期設定値である場合について説明したが、前記クロック供給制御装置10がどの機能回路ブロックを選択するかを表す信号CLKCNTについても併せて前記記憶回路13に格納し、当該信号を制御信号生成回路11に対して周期設定値とともに出力させることにより、クロックを供給する機能回路ブロックの選択順序についても制御可能とすることができる。   In the fourth embodiment, the case where the data stored in the storage circuit 13 is a cycle setting value that represents the period during which the control signal generation circuit 11 outputs the control signal has been described. Is also stored in the storage circuit 13 together with the signal CLKCNT indicating which functional circuit block to select, and the control signal generation circuit 11 outputs the signal together with the period setting value to supply a clock. The order of selecting circuit blocks can also be controlled.

(実施の形態5)
図10は、本発明の実施の形態5における半導体集積回路100Eの構成を示すブロック図である。図10において、図1と同じ構成要素については同じ符号を用い、説明を省略する。
図10において、105,106,107は被測定集積回路101を複数のスキャンチェーンに分割した際のスキャンチェーンブロックである。前記スキャンチェーンブロック105,106,107はスキャンイン経路216〜218を介して、スキャンイン端子307〜309に接続され、スキャンインデータを入力するとともに、スキャンアウト経路219〜221を介してスキャンアウト端子310〜312に接続され、スキャンアウトデータを外部出力する。また、スキャンシフトクロック経路222,223,224を介して前記クロック供給選択回路10に接続され、内部クロック信号の供給を受ける。
(Embodiment 5)
FIG. 10 is a block diagram showing a configuration of a semiconductor integrated circuit 100E according to the fifth embodiment of the present invention. 10, the same components as those in FIG. 1 are denoted by the same reference numerals, and description thereof is omitted.
In FIG. 10, reference numerals 105, 106, and 107 denote scan chain blocks when the integrated circuit to be measured 101 is divided into a plurality of scan chains. The scan chain blocks 105, 106, and 107 are connected to scan-in terminals 307 to 309 via scan-in paths 216 to 218 to input scan-in data and scan-out terminals via scan-out paths 219 to 221. Connected to 310 to 312 and outputs scan-out data to the outside. Further, it is connected to the clock supply selection circuit 10 via the scan shift clock paths 222, 223, and 224, and receives an internal clock signal.

次に以上のように構成された半導体集積回路100Eの動作、及び当該半導体集積回路100Eを用いたIDDQテストの手順について説明する。
まず、実施の形態1において説明した手順により内部状態を変化させる機能回路ブロック(以下、本実施の形態5においてはスキャンチェーンブロックという)を選択する。例えば、スキャンチェーンブロック106を選択する。
Next, an operation of the semiconductor integrated circuit 100E configured as described above and an IDDQ test procedure using the semiconductor integrated circuit 100E will be described.
First, a functional circuit block (hereinafter referred to as a scan chain block in the fifth embodiment) that changes the internal state is selected according to the procedure described in the first embodiment. For example, the scan chain block 106 is selected.

次に、前記選択された機能回路ブロック106に対して所定のスキャンデータを入力する。スキャンチェーンブロック106は、スキャンデータ、及びスキャンシフトクロックの供給を受けて、その内部のフリップフロップ回路を順次シフトさせ、スキャンチェーンブロックを構成する理論回路の内部状態を変化させていく。   Next, predetermined scan data is input to the selected functional circuit block 106. The scan chain block 106 receives supply of scan data and a scan shift clock, sequentially shifts the internal flip-flop circuit, and changes the internal state of the theoretical circuit constituting the scan chain block.

当該半導体集積回路100Eを用いてIDDQテストを行う場合は、上記説明のようにスキャンチェーンブロックの内部状態を変化させた後、予め定める測定ポイントにおける静止電源電流を電流検出回路500により測定する。以下の手順については、先に説明した実施の形態1と同様であるため、その説明を省略する。   When performing the IDDQ test using the semiconductor integrated circuit 100E, the current detection circuit 500 measures the quiescent power supply current at a predetermined measurement point after changing the internal state of the scan chain block as described above. Since the following procedure is the same as that of the first embodiment described above, the description thereof is omitted.

以上のように本実施の形態5の半導体集積回路100Eによれば、各機能回路ブロックをスキャンチェーンとして構成しているため、機能回路ブロックの内部状態の変化は、入力するスキャンパターンにより容易に制御することができるようになる。このため、ファンクション動作により内部状態を変化させる場合に比べてトグル率の向上が容易となり、目標とするトグル率に到達するために必要な静止電源電流の測定回数を削減でき、IDDQテストに要する時間の短縮を図ることが可能となる。   As described above, according to the semiconductor integrated circuit 100E of the fifth embodiment, since each functional circuit block is configured as a scan chain, changes in the internal state of the functional circuit block can be easily controlled by an input scan pattern. Will be able to. Therefore, the toggle rate can be easily improved as compared with the case where the internal state is changed by the function operation, the number of times of measurement of the quiescent power supply current required to reach the target toggle rate can be reduced, and the time required for the IDDQ test Can be shortened.

(実施の形態6)
図11は、本発明の実施の形態6における半導体集積回路100Fの構成を示すブロック図である。図11において、図10と同じ構成要素については同じ符号を用い、説明を省略する。
図11において、スキャンチェーンブロック105は独立したスキャンイン端子307を備えており、スキャンチェーンブロック106,107はスキャンイン経路225を介して、スキャンイン端子313を共有している。
(Embodiment 6)
FIG. 11 is a block diagram showing a configuration of a semiconductor integrated circuit 100F in the sixth embodiment of the present invention. In FIG. 11, the same components as those in FIG.
In FIG. 11, the scan chain block 105 includes an independent scan-in terminal 307, and the scan chain blocks 106 and 107 share the scan-in terminal 313 via the scan-in path 225.

本実施の形態6に係る半導体集積装置100Fは、前記実施の形態5に記載の半導体集積装置100Eにおいて各スキャンチェーン毎にスキャンイン端子を設けていたところを、スキャンイン端子を集約させたスキャンチェーンをも含む構成としている。その動作については実施の形態5と同様であるためその説明を省略する。   In the semiconductor integrated device 100F according to the sixth embodiment, a scan chain in which the scan-in terminals are provided in the semiconductor integrated device 100E according to the fifth embodiment, where the scan-in terminals are provided for each scan chain. Is included. Since the operation is the same as that of the fifth embodiment, the description thereof is omitted.

以上のような本実施の形態6の半導体集積回路100Fによれば、複雑な組み合わせ回路からなるスキャンチェーンと比較的簡単な組み合わせ回路からなるスキャンチェーンとが混在する場合、複雑な組み合わせ回路からなるスキャンチェーンについては独立したスキャンデータを供給する一方で、比較的簡単な組み合わせ回路からなるスキャンチェーンについては、それぞれのスキャンチェーンに入力するスキャンデータを組み合わせたスキャンデータを作成し、集約したスキャンイン端子から入力することができるようになる。これにより、スキャンデータの長さを長大にすることなくスキャンイン端子の削減を図ることが可能となる。
なお、本実施の形態6においてはスキャンイン端子2本に集約したが、1本のスキャンイン端子に集約することも可能である。
According to the semiconductor integrated circuit 100F of the sixth embodiment as described above, when a scan chain composed of a complicated combination circuit and a scan chain composed of a relatively simple combination circuit coexist, a scan composed of a complex combination circuit. While supplying independent scan data for the chain, for scan chains consisting of relatively simple combinational circuits, create scan data that combines the scan data input to each scan chain and use the aggregated scan-in terminal You will be able to enter. This makes it possible to reduce the number of scan-in terminals without increasing the length of the scan data.
In the sixth embodiment, the two scan-in terminals are collected. However, the scan-in terminals can also be gathered.

(実施の形態7)
図12は、本発明の実施の形態7における半導体集積回路100Gの構成を示すブロック図である。図12において、図10と同じ構成要素については同じ符号を用い、説明を省略する。
図12において、スキャンチェーンブロック105,106,107をそれぞれ構成するフリップフロップ回路の再後段から出力されるスキャンアウト信号は、ループバック経路227,228,229を介して、それぞれのスキャンチェーンブロックの初段のフリップフロップ回路のスキャンイン信号として帰還接続される。また、前記スキャンチェーンブロック105〜107はスキャンキャプチャ経路226を介して、キャプチャ信号入力端子314に接続されている。
(Embodiment 7)
FIG. 12 is a block diagram showing a configuration of a semiconductor integrated circuit 100G in the seventh embodiment of the present invention. In FIG. 12, the same components as those of FIG.
In FIG. 12, the scan-out signal output from the second stage of the flip-flop circuit constituting each of the scan chain blocks 105, 106, and 107 is the first stage of each scan chain block via the loopback paths 227, 228, and 229. Is fed back as a scan-in signal of the flip-flop circuit. The scan chain blocks 105 to 107 are connected to a capture signal input terminal 314 via a scan capture path 226.

次に、以上のように構成された半導体集積回路100Gの動作について説明する。
予め所定の定常状態に設定された前記スキャンチェーンブロック105,106,107を構成する各シフトレジスタは、クロック供給選択回路10からクロックを供給されると、自身の信号を後段のフリップフロップにシフト、あるいは反転シフトを行い、最終段フリップフロップの出力信号は、それぞれのスキャンチェーンブロックの初段フリップフロップに帰還される。
Next, the operation of the semiconductor integrated circuit 100G configured as described above will be described.
Each shift register constituting the scan chain blocks 105, 106, 107 set in a predetermined steady state in advance shifts its own signal to a flip-flop at the subsequent stage when a clock is supplied from the clock supply selection circuit 10. Alternatively, inversion shift is performed, and the output signal of the final stage flip-flop is fed back to the first stage flip-flop of each scan chain block.

その際、外部からの入力無しでループシフト動作を行うのみでは、選択されたスキャンチェーンブロックのトグル率向上が困難である。このため、スキャンチェーンのシフトデータに変化を持たせトグル率の向上を図るため、キャプチャ信号入力端子314から所定のタイミングでキャプチャ信号を入力し、各フリップフロックにキャプチャ動作を行わせる。   At that time, it is difficult to improve the toggle rate of the selected scan chain block only by performing the loop shift operation without any external input. Therefore, in order to change the scan chain shift data and improve the toggle rate, a capture signal is input from the capture signal input terminal 314 at a predetermined timing, and each flip-flop performs a capture operation.

上記のような本実施の形態7の半導体集積回路100Gによれば、内部状態を変化させるための入力データを、スキャンチェーンとして構成されている機能回路ブロック自身で生成することとしたので、当該半導体集積回路100Gを用いてIDDQテストを行えば、スキャンデータ信号作成のためのパターンジェネレータが不要になるとともに、スキャンイン、及びスキャンアウトに要する外部入力端子および外部出力端子の削減も可能となる。
なお、本実施の形態7においては、キャプチャ信号を外部端子314より入力したが、半導体集積回路内にカウンタ等を設けて、キャプチャ信号を内部生成させることで、更にキャプチャ信号入力端子314を削減することができる。
According to the semiconductor integrated circuit 100G of the seventh embodiment as described above, the input data for changing the internal state is generated by the functional circuit block itself configured as a scan chain. When an IDDQ test is performed using the integrated circuit 100G, a pattern generator for creating a scan data signal is not necessary, and external input terminals and external output terminals required for scan-in and scan-out can be reduced.
Although the capture signal is input from the external terminal 314 in the seventh embodiment, the capture signal input terminal 314 is further reduced by providing a counter or the like in the semiconductor integrated circuit to generate the capture signal internally. be able to.

(実施の形態8)
図13は、本発明の実施の形態8における半導体集積回路100Hの構成を示すブロック図である。図13において、図1と同じ構成要素については同じ符号を用い、説明を省略する。
図13において、15は電源供給経路200上に配置され、当該電源供給経路200の経路上に流れる電流を検出する電流検出回路である。電流検出のタイミングを制御するトリガ信号を測定トリガ入力端子315から外部入力し、動作クロックとしてクロック供給端子301から入力されたクロック信号を、クロック供給選択回路10を介さずにクロック供給経路230から取り込む。
(Embodiment 8)
FIG. 13 is a block diagram showing a configuration of a semiconductor integrated circuit 100H according to the eighth embodiment of the present invention. In FIG. 13, the same components as those in FIG.
In FIG. 13, reference numeral 15 denotes a current detection circuit that is arranged on the power supply path 200 and detects a current flowing on the power supply path 200. A trigger signal for controlling the timing of current detection is externally input from the measurement trigger input terminal 315, and the clock signal input from the clock supply terminal 301 as an operation clock is fetched from the clock supply path 230 without passing through the clock supply selection circuit 10. .

16は電流検出回路15が出力する測定結果と、基準信号入力端子317から入力された基準信号との比較を行うコンパレータであり、その比較結果を比較結果出力端子316へと外部出力する。   Reference numeral 16 denotes a comparator that compares the measurement result output from the current detection circuit 15 with the reference signal input from the reference signal input terminal 317, and outputs the comparison result to the comparison result output terminal 316.

以上のように構成された半導体集積回路100Hの動作について説明する。
電流検出回路15は測定トリガ入力端子315から外部入力されるトリガ信号を受けると、当該トリガ信号の入力をきっかけとして電源供給経路200上に流れる電流を所定のサイクル数測定し、当該計測結果をコンパレータ16に対して出力する。
コンパレータ16は、前記測定結果と基準信号入力端子317から入力された基準値との比較を行い、比較結果を比較結果出力端子316に外部出力する。IDDQテストの際には、当該比較結果に基づき被検査半導体集積回路100Hの良否判定が行われる。
The operation of the semiconductor integrated circuit 100H configured as described above will be described.
Upon receiving an externally input trigger signal from the measurement trigger input terminal 315, the current detection circuit 15 measures a predetermined number of cycles of the current flowing on the power supply path 200 triggered by the input of the trigger signal, and compares the measurement result with the comparator. 16 is output.
The comparator 16 compares the measurement result with the reference value input from the reference signal input terminal 317 and outputs the comparison result to the comparison result output terminal 316 externally. In the IDDQ test, the quality of the semiconductor integrated circuit 100H to be inspected is determined based on the comparison result.

以上のような本実施の形態8の半導体集積回路100Hによれば、電源検出回路を外部に設置する場合に比べて、電源検出回路の接続により生じるインダクタンス成分の発生を抑えることができ、高速な静止電源電流の測定を行うことが可能となる。   According to the semiconductor integrated circuit 100H of the eighth embodiment as described above, the generation of the inductance component caused by the connection of the power supply detection circuit can be suppressed compared with the case where the power supply detection circuit is installed outside, and the high speed can be achieved. It becomes possible to measure the quiescent power supply current.

また、電流検出回路の接続作業が不要となるため、MCMパッケージング後や基板実装後など、複数の集積回路が集約されており各集積回路毎に電流検出回路を接続することが困難な環境においても、IDDQテストの実施が可能となる。
なお、本実施の形態においては、基準信号を基準信号入力端子317より入力しているが、基準信号を記憶回路に予め格納し、且つ当該記憶回路を半導体集積回路100Hに内蔵させることによって、基準信号入力端子317の削減が可能となる。
In addition, since there is no need to connect the current detection circuit, a plurality of integrated circuits are aggregated after MCM packaging or after mounting on a board, and it is difficult to connect the current detection circuit for each integrated circuit. In addition, the IDDQ test can be performed.
In the present embodiment, the reference signal is input from the reference signal input terminal 317. However, the reference signal is stored in advance in the memory circuit, and the memory circuit is incorporated in the semiconductor integrated circuit 100H, whereby the reference signal is input. The number of signal input terminals 317 can be reduced.

また、本実施の形態においては、測定トリガ信号を測定トリガ入力端子315より入力しているが、半導体集積回路内にカウンタ等を設けて、測定トリガ信号を内部生成させることで、測定トリガ信号入力端子315の削減が可能となる。   In this embodiment, the measurement trigger signal is input from the measurement trigger input terminal 315. However, the measurement trigger signal is input by providing a counter or the like in the semiconductor integrated circuit and generating the measurement trigger signal internally. The number of terminals 315 can be reduced.

(実施の形態9)
図14は、本発明の実施の形態9における半導体集積回路100Iの構成を示すブロック図である。図14において、図13と同じ構成要素については同じ符号を用い、説明を省略する。
図14において、17は電流検出回路15の検出結果の最大値と最小値との差分値を求め、当該差分値を電流検出回路15の検出結果としてコンパレータ16に出力する演算回路である。なお、演算回路17は前記最大値と最小値とを記憶する記憶回路と、差分値を求めるための減算回路とを備えているが、図上にはいずれも描画していない。
(Embodiment 9)
FIG. 14 is a block diagram showing a configuration of a semiconductor integrated circuit 100I according to the ninth embodiment of the present invention. 14, the same components as those in FIG. 13 are denoted by the same reference numerals, and description thereof is omitted.
In FIG. 14, an arithmetic circuit 17 obtains a difference value between the maximum value and the minimum value of the detection result of the current detection circuit 15 and outputs the difference value to the comparator 16 as the detection result of the current detection circuit 15. The arithmetic circuit 17 includes a storage circuit for storing the maximum value and the minimum value, and a subtraction circuit for obtaining a difference value, but none of them is drawn on the drawing.

以上のように構成された半導体集積回路100Iの動作について説明する。
前記実施の形態8で説明した手順によって電流検出回路15は静止電源電流を所定のサイクル数計測する。当該検出結果は検出結果処理回路17に出力される。
The operation of the semiconductor integrated circuit 100I configured as described above will be described.
According to the procedure described in the eighth embodiment, the current detection circuit 15 measures the quiescent power supply current for a predetermined number of cycles. The detection result is output to the detection result processing circuit 17.

検出結果処理回路17は、例えば、図4(d)のような7サイクル分の静止電源電流の測定値が電流検出回路15から出力された場合、第3サイクルにおける静止電源電流値を最大値と認識して記憶回路に格納し、第5サイクルにおける静止電源電流値を最小値と認識して記憶回路13に格納するとともに、最大値と最小値の差分値を計算し当該差分結果をコンパレータ16へ出力する。
コンパレータ16は、前記差分値と所定の基準値との比較を行い、比較結果を比較結果出力端子316に外部出力する。
For example, when the measurement value of the quiescent power supply current for seven cycles as shown in FIG. 4D is output from the current detection circuit 15, the detection result processing circuit 17 sets the quiescent power supply current value in the third cycle as the maximum value. Recognizing and storing in the storage circuit, the quiescent power supply current value in the fifth cycle is recognized as the minimum value and stored in the storage circuit 13, and the difference value between the maximum value and the minimum value is calculated and the difference result is sent to the comparator 16. Output.
The comparator 16 compares the difference value with a predetermined reference value, and outputs the comparison result to the comparison result output terminal 316.

以上のような本実施の形態9の半導体集積回路100Iによれば、測定した静止電源電流の相対値に対してIDDQテストの良否判定基準を設けることができるようになる。このため、静止電源電流の測定値に占めるバックグラウンド電流の影響が大きく、静止電源電流の測定値そのものに対してIDDQテストの良否判定基準を設けることが困難な場合であっても、高精度なIDDQテストの実施が可能となる。   According to the semiconductor integrated circuit 100I of the ninth embodiment as described above, it is possible to provide an IDDQ test pass / fail criterion for the measured relative value of the quiescent power supply current. For this reason, even if the influence of the background current on the measured value of the quiescent power supply current is large, and it is difficult to provide a pass / fail judgment criterion for the IDDQ test with respect to the measured value of the quiescent power supply current itself, it is highly accurate. An IDDQ test can be performed.

(実施の形態10)
図15は、本発明の実施の形態10における半導体集積回路100Jの構成を示すブロック図である。図15において、図13と同じ構成要素については同じ符号を用い、説明を省略する。
図15において、18は電源供給経路200上に配置され、当該電源電流経路200上に流れる電源電流の変化量を検出する電流変化量検出回路である。電流変化量検出回路18は、電流変化量が所定値以下になったときに、電流検出回路15が静止電源電流を測定することを許可する測定許可信号231を出力する。当該電流変化量検出回路18は測定許可信号経路231を介して電流検出回路15に接続される。
(Embodiment 10)
FIG. 15 is a block diagram showing a configuration of a semiconductor integrated circuit 100J according to the tenth embodiment of the present invention. 15, the same components as those in FIG. 13 are denoted by the same reference numerals, and the description thereof is omitted.
In FIG. 15, reference numeral 18 denotes a current change amount detection circuit that is arranged on the power supply path 200 and detects the change amount of the power supply current flowing on the power supply current path 200. The current change amount detection circuit 18 outputs a measurement permission signal 231 that permits the current detection circuit 15 to measure the quiescent power supply current when the current change amount becomes a predetermined value or less. The current change amount detection circuit 18 is connected to the current detection circuit 15 via the measurement permission signal path 231.

次に、以上のように構成された半導体集積回路100Jの動作について図16を用いて説明する。
図16は実施の形態10の動作を示すタイミングチャートである。CLK230は、外部入力クロックを、電源電流は、電源供給経路200上に流れる電流量を、電流変化量は、電源電流が示す電流の変化量を、測定許可信号231は、電流変化量検出回路18が出力する測定許可信号の出力状況を、測定トリガ信号は、トリガ入力端子315から入力される測定トリガ信号の入力状況を、検出タイミングは電流検出回路15の静止電源電流検出タイミングをそれぞれ表している。
Next, the operation of the semiconductor integrated circuit 100J configured as described above will be described with reference to FIG.
FIG. 16 is a timing chart showing the operation of the tenth embodiment. CLK230 is an external input clock, power supply current is the amount of current flowing on the power supply path 200, current change is the amount of change of the current indicated by the power supply current, and measurement permission signal 231 is the current change detection circuit 18. , The measurement trigger signal represents the input state of the measurement trigger signal input from the trigger input terminal 315, and the detection timing represents the stationary power supply current detection timing of the current detection circuit 15. .

まず、電流変化量検出回路18は、電源供給経路200を流れる電流の変化量を測定する。当該変化量が予め定めた基準値より小さくなると、半導体集積回路100Jの内部状態が定常状態になったものとして、電流検出回路15に対して測定許可信号231を出力する。   First, the current change amount detection circuit 18 measures the change amount of the current flowing through the power supply path 200. When the amount of change is smaller than a predetermined reference value, the measurement permission signal 231 is output to the current detection circuit 15 assuming that the internal state of the semiconductor integrated circuit 100J is in a steady state.

検出装置15は前記測定トリガ入力端子315から入力された測定トリガ信号とともに測定許可信号231が入力されると、電源供給経路200上を流れる電流を検出し、コンパレータ16に対し検出結果を出力する。
コンパレータ16は、前記検出結果の出力を受けて、基準信号入力端子317から入力された基準値と前記検出結果との比較を行い、比較結果を比較結果出力端子316に外部出力する。
When the measurement permission signal 231 is input together with the measurement trigger signal input from the measurement trigger input terminal 315, the detection device 15 detects the current flowing on the power supply path 200 and outputs the detection result to the comparator 16.
The comparator 16 receives the output of the detection result, compares the reference value input from the reference signal input terminal 317 with the detection result, and outputs the comparison result to the comparison result output terminal 316 externally.

次に、本実施の形態の半導体集積回路100Jを用いてIDDQテストを行った際の効果を以下に説明する。
図16の電源電流が示すように、電源供給経路200を流れる電流は外部クロックの入力に従い、被測定集積回路101内のトランジスタのトグルによる貫通電流が瞬間的に発生し、その後、定常状態に落ち着く。静止電源電流はこの定常状態における電源電流を指すため、静止電源電流を測定するタイミングは定常状態に落ち着くまで十分待つ必要がある。
Next, the effect when the IDDQ test is performed using the semiconductor integrated circuit 100J of the present embodiment will be described below.
As shown by the power supply current in FIG. 16, the current flowing through the power supply path 200 follows the input of the external clock, and a through current due to the toggle of the transistor in the integrated circuit 101 to be measured is instantaneously generated, and then settles to a steady state. . Since the quiescent power supply current indicates the power supply current in the steady state, the timing for measuring the quiescent power supply current needs to wait sufficiently until the steady state is reached.

しかし、定常状態に落ち着くまでの時間は、検査条件やプロセス条件によってばらつきが発生するため、静止電源電流測定にあたっては余裕をもって測定トリガ信号を入力する必要がある。このため、トグル率を向上させるためのテストパターン、及び外部クロックの入力周波数は数100Hzから数10kHzと非常に遅くする必要があり、テスト時間が非常に長くなる。   However, since the time until the steady state is settled varies depending on the inspection conditions and process conditions, it is necessary to input the measurement trigger signal with a margin when measuring the quiescent power supply current. For this reason, the test pattern for improving the toggle rate and the input frequency of the external clock must be very slow, from several hundred Hz to several tens kHz, and the test time becomes very long.

この点につき、本実施の形態10の半導体集積回路100Jを用いてIDDQテストを行えば、電流検出回路15は、測定トリガ信号とともに、電源供給経路200を流れる電流が定常状態になったことを示す測定許可信号231の出力を受けた時に静止電源電流の測定を開始するため、従来のように静止電源電流の測定に際して時間的な余裕をもって測定トリガ信号を入力する必要はなく、テストパターンの入力周波数も高く設定できるため、テスト時間の大幅な短縮が可能となる。   In this regard, if an IDDQ test is performed using the semiconductor integrated circuit 100J of the tenth embodiment, the current detection circuit 15 indicates that the current flowing through the power supply path 200 is in a steady state together with the measurement trigger signal. Since the measurement of the quiescent power supply current is started when the output of the measurement permission signal 231 is received, it is not necessary to input a measurement trigger signal with a time margin when measuring the quiescent power supply current as in the prior art, and the input frequency of the test pattern The test time can be greatly shortened.

(実施の形態11)
図17は、本発明の実施の形態11おける半導体集積回路100Kの構成を示すブロック図である。図17において、図13と同じ構成要素については同じ符号を用い、説明を省略する。
図17において、19は電流検出回路15の検出結果に対して温度補正を実施後、後段のコンパレータ16へと補正結果を出力する温度補正回路であり、電流検出回路15からコンパレータ16への信号出力経路上に設置されている。
(Embodiment 11)
FIG. 17 is a block diagram showing a configuration of a semiconductor integrated circuit 100K in the eleventh embodiment of the present invention. In FIG. 17, the same components as those in FIG.
In FIG. 17, reference numeral 19 denotes a temperature correction circuit that outputs a correction result to the subsequent comparator 16 after performing temperature correction on the detection result of the current detection circuit 15, and outputs a signal from the current detection circuit 15 to the comparator 16. It is installed on the route.

次に、以上のように構成された半導体集積回路100Kの動作について説明する。
まず、電流検出回路15は、前記実施の形態8において説明したように電源供給経路200を流れる静止電源電流を所定サイクル数測定していく。
温度補正回路19装置は、当該装置に内蔵する温度検出装置によって半導体集積回路100Kの内部温度を測定し、当該測定温度に対応した温度補正係数に基き電流検出回路15が出力した静止電源電流の測定結果に対して所定の補正を行い、当該補正値をコンパレータ16へ出力する。なお、図上において温度検出装置は描画していない。
コンパレータ16は、前記補正値の出力を受けて、基準信号入力端子317から入力された所定の基準値と当該補正値との比較を行い、比較結果を比較結果出力端子316に外部出力する。
Next, the operation of the semiconductor integrated circuit 100K configured as described above will be described.
First, the current detection circuit 15 measures the predetermined number of cycles of the quiescent power supply current flowing through the power supply path 200 as described in the eighth embodiment.
The temperature correction circuit 19 measures the internal temperature of the semiconductor integrated circuit 100K using a temperature detection device built in the device, and measures the quiescent power supply current output from the current detection circuit 15 based on the temperature correction coefficient corresponding to the measurement temperature. A predetermined correction is performed on the result, and the correction value is output to the comparator 16. In the figure, the temperature detection device is not drawn.
The comparator 16 receives the output of the correction value, compares the predetermined reference value input from the reference signal input terminal 317 with the correction value, and outputs the comparison result to the comparison result output terminal 316 externally.

以上のような本実施の形態11の半導体集積回路100Kによれば、以下に説明する効果を得ることができる。すなわち、静止電源電流の測定値は、静止電源電流を測定したときの半導体集積回路の内部温度によって大きく変化するといった特徴を有している。このため、IDDQテストを実施するにあたっては半導体集積回路、及びその周辺の温度を考慮したうえで良否判定の判定基準値を設けなければ高精度なIDDQテストを行うことはできない。   According to the semiconductor integrated circuit 100K of the eleventh embodiment as described above, the effects described below can be obtained. That is, the measured value of the quiescent power supply current has a characteristic that it greatly varies depending on the internal temperature of the semiconductor integrated circuit when the quiescent power supply current is measured. For this reason, when performing the IDDQ test, it is not possible to perform the IDDQ test with high accuracy unless the determination reference value for determining pass / fail is provided in consideration of the temperature of the semiconductor integrated circuit and its surroundings.

この点につき、本実施の形態11の半導体集積回路100Kによれば、静止電源電流の測定値に対して適切な温度補正を行い、当該補正値に基づいてIDDQテストを行うことが可能となり、IDDQテスト時の半導体集積回路の内部温度を考慮することなく判定基準を決定することができる。
なお、本実施の形態11においては、所定の基準値を前記基準信号入力端子317より入力しているが、当該基準値を半導体集積回路に備える記憶回路に予め格納しておいてもよい。
In this regard, according to the semiconductor integrated circuit 100K of the eleventh embodiment, it is possible to perform an appropriate temperature correction on the measured value of the quiescent power supply current, and to perform an IDDQ test based on the correction value. The determination criterion can be determined without considering the internal temperature of the semiconductor integrated circuit during the test.
In the eleventh embodiment, a predetermined reference value is input from the reference signal input terminal 317. However, the reference value may be stored in advance in a memory circuit provided in the semiconductor integrated circuit.

本発明に係る半導体集積回路を用いることにより、高精度且つ迅速な故障検出ができるようになるため、動作の安定した半導体集積回路の提供が可能となり、ひいては半導体集積回路を利用した各種機器類の提供に際して、市場品質の確保を図ることが可能となる点において有用である。   By using the semiconductor integrated circuit according to the present invention, it becomes possible to detect a failure with high accuracy and speed, so that it is possible to provide a semiconductor integrated circuit with stable operation, and as a result, various devices using the semiconductor integrated circuit can be provided. It is useful in providing market quality when it is provided.

本発明の実施の形態1における半導体集積回路の構成を示すブロック図である。1 is a block diagram showing a configuration of a semiconductor integrated circuit according to a first embodiment of the present invention. 本発明の実施の形態1における半導体集積回路の動作を示すタイミングチャートである。3 is a timing chart showing an operation of the semiconductor integrated circuit according to the first embodiment of the present invention. 本発明の実施の形態1におけるIDDQテストの測定方法を示すフローチャートである。It is a flowchart which shows the measuring method of the IDDQ test in Embodiment 1 of this invention. 本発明の実施の形態1における静止電源電流のばらつきを示す説明図である。It is explanatory drawing which shows the dispersion | variation in the static power supply current in Embodiment 1 of this invention. 本発明の実施の形態1における静止電源電流のばらつきを示す説明図である。It is explanatory drawing which shows the dispersion | variation in the static power supply current in Embodiment 1 of this invention. 本発明の実施の形態1における静止電源電流のばらつきを示す説明図である。It is explanatory drawing which shows the dispersion | variation in the static power supply current in Embodiment 1 of this invention. 本発明の実施の形態1における静止電源電流のばらつきを示す説明図である。It is explanatory drawing which shows the dispersion | variation in the static power supply current in Embodiment 1 of this invention. 本発明の実施の形態2における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 2 of this invention. 本発明の実施の形態2における半導体集積回路の動作を示すタイミングチャートである。6 is a timing chart illustrating an operation of the semiconductor integrated circuit according to the second embodiment of the present invention. 本発明の実施の形態3における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 3 of this invention. 本発明の実施の形態4における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 4 of this invention. 本発明の実施の形態4における半導体集積回路の動作を示すタイミングチャートである。10 is a timing chart showing an operation of the semiconductor integrated circuit according to the fourth embodiment of the present invention. 本発明の実施の形態5における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 5 of this invention. 本発明の実施の形態6における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 6 of this invention. 本発明の実施の形態7における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 7 of this invention. 本発明の実施の形態8における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 8 of this invention. 本発明の実施の形態9における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 9 of this invention. 本発明の実施の形態10における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 10 of this invention. 本発明の実施の形態10における半導体集積回路の動作を示すタイミングチャートである。It is a timing chart which shows the operation | movement of the semiconductor integrated circuit in Embodiment 10 of this invention. 本発明の実施の形態11における半導体集積回路の構成を示すブロック図である。It is a block diagram which shows the structure of the semiconductor integrated circuit in Embodiment 11 of this invention. 従来のIDDQ測定法における静止電源電流のばらつきを示す図である。It is a figure which shows the dispersion | variation in the static power supply current in the conventional IDDQ measuring method. 従来のIDDQ測定法における静止電源電流のばらつきを示す図である。It is a figure which shows the dispersion | variation in the static power supply current in the conventional IDDQ measuring method. 従来のIDDQ測定法における静止電源電流のばらつきを示す図である。It is a figure which shows the dispersion | variation in the static power supply current in the conventional IDDQ measuring method. 従来のIDDQ測定法における静止電源電流のばらつきを示す図である。It is a figure which shows the dispersion | variation in the static power supply current in the conventional IDDQ measuring method. 従来の半導体集積回路の構成例を示すブロック図である。It is a block diagram which shows the structural example of the conventional semiconductor integrated circuit.

符号の説明Explanation of symbols

1、2、3 電源供給遮断回路
4 遮断制御装置
5、6 回路分離装置
10 クロック供給選択回路
11 制御信号生成回路
12 カウンタ
13 記憶回路
14 アドレス生成回路
15 電流検出回路
16 コンパレータ
17 検出結果処理回路
18 電流変化量検出回路
19 温度補正回路
100、100A〜100K 半導体集積回路
101 被測定集積回路
102、103、104 機能回路ブロック
105、106、107 スキャンチェーンブロック
200 電源供給経路
201 GND経路
202 クロック供給経路
203 データ入力経路
204、205、206 制御経路
207、208、209 ブロック用クロック供給経路
210、211 クロック供給制御経路
212 クロック供給制御経路
213 カウンタ出力経路
214 データ信号経路
215 アドレス信号経路
216、217、218 スキャンイン経路
219、220、221 スキャンアウト経路
222、223、224 スキャンシフトクロック経路
225 スキャンイン経路
226 スキャンキャプチャ経路
227、228,229 ループバック経路
230 クロック供給経路
300 データ入力端子
301 クロック供給端子
302 外部電源端子
303 GND端子
304、305 クロック供給制御端子
306 クロック制御信号出力端子
307、308、309 スキャンイン端子
310、311、312 スキャンアウト端子
313 スキャンイン端子
314 キャプチャ信号入力端子
315 測定トリガ入力端子
316 比較結果出力端子
317 基準信号入力端子
500 電流検出回路
1, 2, 3 Power supply cut-off circuit 4 Cut-off control device 5, 6 Circuit separation device 10 Clock supply selection circuit 11 Control signal generation circuit 12 Counter 13 Storage circuit 14 Address generation circuit 15 Current detection circuit 16 Comparator 17 Detection result processing circuit 18 Current change detection circuit 19 Temperature correction circuit 100, 100A to 100K Semiconductor integrated circuit 101 Integrated circuit under test 102, 103, 104 Functional circuit block 105, 106, 107 Scan chain block 200 Power supply path 201 GND path 202 Clock supply path 203 Data input path 204, 205, 206 Control path 207, 208, 209 Block clock supply path 210, 211 Clock supply control path 212 Clock supply control path 213 Counter output path 214 Data transmission Path 215 Address signal path 216, 217, 218 Scan-in path 219, 220, 221 Scan-out path 222, 223, 224 Scan shift clock path 225 Scan-in path 226 Scan capture path 227, 228, 229 Loopback path 230 Clock supply path 300 Data input terminal 301 Clock supply terminal 302 External power supply terminal 303 GND terminal 304, 305 Clock supply control terminal 306 Clock control signal output terminal 307, 308, 309 Scan-in terminal 310, 311, 312 Scan-out terminal 313 Scan-in terminal 314 Capture Signal input terminal 315 Measurement trigger input terminal 316 Comparison result output terminal 317 Reference signal input terminal 500 Current detection circuit

Claims (16)

内部クロック信号に同期して動作する複数の機能回路ブロックで構成された半導体集積回路において、
前記複数の機能回路ブロックのうち、外部端子から入力される制御信号に基づいて機能回路ブロックを一つ以上選択し、外部端子から入力したクロック信号を、当該選択された機能回路ブロックに対して内部クロックとして出力するクロック供給選択回路を備える、
ことを特徴とする半導体集積回路。
In a semiconductor integrated circuit composed of a plurality of functional circuit blocks that operate in synchronization with an internal clock signal,
Among the plurality of functional circuit blocks, one or more functional circuit blocks are selected based on a control signal input from an external terminal, and a clock signal input from the external terminal is internal to the selected functional circuit block. A clock supply selection circuit for outputting as a clock;
A semiconductor integrated circuit.
内部クロック信号に同期して動作する複数の機能回路ブロックで構成された半導体集積回路において、
外部端子から入力したクロック信号に同期して動作するカウンタと、
機能回路ブロックの選択を制御する制御信号の生成、及び出力を行い、前記カウンタからの出力を受けて、所定の周期毎に前記制御信号の出力内容を切り替える制御信号生成回路と、
前記制御信号の出力を受けて、前記複数の機能回路ブロックの中から機能回路ブロックを一つ以上選択し、外部端子から入力したクロック信号を、当該選択された機能回路ブロックに対して内部クロックとして出力するクロック供給選択回路とを備える、
ことを特徴とする半導体集積回路。
In a semiconductor integrated circuit composed of a plurality of functional circuit blocks that operate in synchronization with an internal clock signal,
A counter that operates in synchronization with a clock signal input from an external terminal;
A control signal generation circuit that generates and outputs a control signal for controlling selection of a functional circuit block, receives an output from the counter, and switches an output content of the control signal every predetermined cycle;
In response to the output of the control signal, one or more functional circuit blocks are selected from the plurality of functional circuit blocks, and a clock signal input from an external terminal is used as an internal clock for the selected functional circuit block. A clock supply selection circuit for outputting,
A semiconductor integrated circuit.
請求項2に記載の半導体集積回路において、
前記制御信号生成回路から出力される制御信号を、外部に出力する制御信号出力端子をさらに備える、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 2,
A control signal output terminal for outputting the control signal output from the control signal generation circuit to the outside;
A semiconductor integrated circuit.
請求項2に記載の半導体集積回路において、
記憶回路が出力すべき周期設定値を指示するアドレス信号を生成し、当該アドレス信号を記憶回路に対して出力するアドレス生成回路と
前記制御信号の出力周期として1つ以上の周期設定値を格納し、当該周期設定値のいずれかを前記アドレス信号に従って前記制御信号生成回路に対して出力する記憶回路とをさらに備える、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 2,
An address signal for instructing a cycle setting value to be output by the memory circuit is generated, an address generation circuit for outputting the address signal to the memory circuit, and one or more cycle setting values are stored as an output cycle of the control signal. A storage circuit that outputs any one of the cycle setting values to the control signal generation circuit according to the address signal;
A semiconductor integrated circuit.
請求項1に記載の半導体集積回路において、
前記複数の機能回路ブロックは、それぞれが独立したスキャンチェーンである、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 1,
Each of the plurality of functional circuit blocks is an independent scan chain.
A semiconductor integrated circuit.
請求項5に記載の半導体集積回路において、
前記独立した複数のスキャンチェーンのうち、2つ以上のスキャンチェーンがスキャンイン端子を共有している、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 5,
Of the plurality of independent scan chains, two or more scan chains share a scan-in terminal.
A semiconductor integrated circuit.
請求項5に記載の半導体集積回路において、
前記独立した複数のスキャンチェーンのそれぞれは、各スキャンチェーンを構成する最終段のフリップフロップのスキャンアウト端子が、当該スキャンチェーンの初段のスキャンイン端子へ帰還接続されており、各スキャンチェーンは外部から入力したキャプチャ信号を受けてキャプチャ動作を行う、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 5,
In each of the plurality of independent scan chains, the scan-out terminal of the final stage flip-flop constituting each scan chain is feedback-connected to the scan-in terminal of the first stage of the scan chain, and each scan chain is externally connected. The capture operation is performed in response to the input capture signal.
A semiconductor integrated circuit.
請求項5に記載の半導体集積回路において、
前記独立した複数のスキャンチェーンのそれぞれは、各スキャンチェーンを構成する最終段のフリップフロップのスキャンアウト端子が、当該スキャンチェーンの初段のスキャンイン端子へ帰還接続されており、各スキャンチェーンは半導体内部に備えるカウンタにより生成されたキャプチャ信号を受けてキャプチャ動作を行う、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 5,
In each of the plurality of independent scan chains, the scan-out terminal of the final stage flip-flop constituting each scan chain is feedback-connected to the scan-in terminal of the first stage of the scan chain. Receiving a capture signal generated by a counter provided to perform a capture operation,
A semiconductor integrated circuit.
請求項1ないし請求項8の何れかに記載の半導体集積回路において、
前記複数の機能回路ブロックに電源を供給する電源供給経路を流れる静止電源電流を測定する電流検出回路と、
当該電流検出回路の測定値と所定の基準値との比較を行うコンパレータと、
前記コンパレータの比較結果を外部に出力する比較結果出力端子とをさらに備える、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to any one of claims 1 to 8,
A current detection circuit for measuring a quiescent power supply current flowing through a power supply path for supplying power to the plurality of functional circuit blocks;
A comparator that compares the measured value of the current detection circuit with a predetermined reference value;
A comparison result output terminal for outputting the comparison result of the comparator to the outside;
A semiconductor integrated circuit.
請求項9に記載の半導体集積回路において、
前記電流検出回路が静止電源電流を測定するタイミングを制御するトリガ信号を生成し、当該トリガ信号を前記電流検出回路に対して出力するカウンタをさらに備える、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 9, wherein
A counter that generates a trigger signal for controlling the timing at which the current detection circuit measures the quiescent power supply current, and outputs the trigger signal to the current detection circuit;
A semiconductor integrated circuit.
請求項9に記載の半導体集積回路において、
前記所定の基準値を格納し、当該基準値を前記コンパレータに対して出力する記憶回路をさらに備える、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 9, wherein
A storage circuit that stores the predetermined reference value and outputs the reference value to the comparator;
A semiconductor integrated circuit.
請求項9に記載の半導体集積回路において、
前記電流検出回路が測定した測定値の最大値と最小値を記憶する記憶回路と、
当該記憶回路に格納された最大値と最小値の差分を計算し、当該差分値を前記電流検出回路の測定結果として前記コンパレータに対して出力する演算回路とをさらに備える、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 9, wherein
A storage circuit for storing a maximum value and a minimum value of measurement values measured by the current detection circuit;
An arithmetic circuit that calculates a difference between the maximum value and the minimum value stored in the storage circuit and outputs the difference value to the comparator as a measurement result of the current detection circuit;
A semiconductor integrated circuit.
請求項9に記載の半導体集積回路において、
前記電源供給経路に流れる静止電源電流の変化量を計測し、当該変化量が所定の値以下に達したときに、前記電流検出回路が電流測定を行うことを許可する測定許可信号を、前記電流検出回路に対して出力する電流変化量検出回路をさらに備える、
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 9, wherein
The amount of change in the quiescent power supply current that flows through the power supply path is measured, and when the amount of change reaches a predetermined value or less, a measurement permission signal that permits the current detection circuit to perform current measurement, A current change amount detection circuit that outputs to the detection circuit;
A semiconductor integrated circuit.
請求項9に記載の半導体集積回路において、
半導体集積回路の内部温度を測定し、当該測定温度と所定の温度補正係数とに基いて前記電流検出回路の測定値に対して補正を行い、当該補正値を前記電流検出回路の測定結果として前記コンパレータに対して出力する温度補正回路をさらに備える
ことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 9, wherein
Measuring the internal temperature of the semiconductor integrated circuit, correcting the measurement value of the current detection circuit based on the measurement temperature and a predetermined temperature correction coefficient, and using the correction value as the measurement result of the current detection circuit A semiconductor integrated circuit, further comprising a temperature correction circuit that outputs to the comparator.
内部クロック信号に同期して動作する複数の機能回路ブロックで構成された半導体集積回路の静止電源電流を測定することにより、当該半導体集積回路の故障を検出する半導体集積回路の故障検出方法において、
内部クロックを供給する機能回路ブロックを一つ以上選択し、
所定のデータ信号を印加することにより前記選択された機能回路ブロックのみ内部状態を変化させ、所定の内部状態における静止電源電流を測定し、
静止電源電流の測定値と所定の基準値との比較を行うことにより被検査半導体集積回路の良否判定を行い、
前記良否判定において被検査半導体集積回路の故障が検出されるか、あるいは予め定めた全ての機能回路ブロックが、内部クロックを供給する機能回路ブロックとして選択されて前記良否判定が行われるまで、前記機能回路ブロックの選択から被検査半導体集積回路の良否判定までの動作を行う、
ことを特徴とする半導体集積回路の故障検出方法。
In a semiconductor integrated circuit failure detection method for detecting a failure of a semiconductor integrated circuit by measuring a quiescent power supply current of the semiconductor integrated circuit configured by a plurality of functional circuit blocks operating in synchronization with an internal clock signal,
Select one or more functional circuit blocks that supply the internal clock,
Only the selected functional circuit block by applying a predetermined data signal changes the internal state, and measures the quiescent power supply current in the predetermined internal state,
By comparing the measured value of the quiescent power supply current with a predetermined reference value, the quality of the semiconductor integrated circuit to be inspected is judged.
The function is detected until a failure of the semiconductor integrated circuit to be inspected is detected in the pass / fail judgment, or until all the predetermined functional circuit blocks are selected as the functional circuit block supplying an internal clock and the pass / fail judgment is performed. Performs operations from selection of circuit blocks to pass / fail judgment of the semiconductor integrated circuit under test.
A fault detection method for a semiconductor integrated circuit.
請求項15に記載の半導体集積回路の故障検出方法において、
前記被検査半導体集積回路の良否判定は、静止電源電流の測定値の最大値と最小値とを記憶し、
前記最大値と最小値との差分値を求め、
当該差分値と所定の基準値との比較を行うものである、
ことを特徴とする半導体集積回路の故障検出方法。
The failure detection method for a semiconductor integrated circuit according to claim 15,
The pass / fail judgment of the semiconductor integrated circuit to be inspected stores the maximum value and the minimum value of the measured value of the quiescent power supply current,
Find the difference value between the maximum and minimum values,
The difference value is compared with a predetermined reference value.
A fault detection method for a semiconductor integrated circuit.
JP2003380558A 2003-11-10 2003-11-10 Semiconductor integrated circuit and failure detection method for semiconductor integrated circuit Expired - Fee Related JP4557526B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003380558A JP4557526B2 (en) 2003-11-10 2003-11-10 Semiconductor integrated circuit and failure detection method for semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003380558A JP4557526B2 (en) 2003-11-10 2003-11-10 Semiconductor integrated circuit and failure detection method for semiconductor integrated circuit

Publications (2)

Publication Number Publication Date
JP2005140759A true JP2005140759A (en) 2005-06-02
JP4557526B2 JP4557526B2 (en) 2010-10-06

Family

ID=34690201

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003380558A Expired - Fee Related JP4557526B2 (en) 2003-11-10 2003-11-10 Semiconductor integrated circuit and failure detection method for semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP4557526B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006317208A (en) * 2005-05-11 2006-11-24 Advantest Corp Testing device, and testing method
JP2007132755A (en) * 2005-11-09 2007-05-31 Sharp Corp Method and system for inspecting circuit
JP2009008627A (en) * 2007-06-29 2009-01-15 Nec Electronics Corp Method and device for inspection and failure analysis device
JP2009133762A (en) * 2007-11-30 2009-06-18 Hitachi Ulsi Systems Co Ltd Semiconductor device and its test method
US7634700B2 (en) 2005-08-19 2009-12-15 Infineon Technologies Ag Semiconductor device with test interface
US7810003B2 (en) 2006-10-26 2010-10-05 Samsung Electronics Co., Ltd. Method of generating test clock signal and test clock signal generator for testing semiconductor devices
JP2013518285A (en) * 2010-01-29 2013-05-20 フリースケール セミコンダクター インコーポレイテッド Static current (IDDQ) indication and test apparatus and method
CN116482519A (en) * 2023-05-16 2023-07-25 苏州德机自动化科技有限公司 Self-test management system of micro integrated circuit

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0552899A (en) * 1991-08-23 1993-03-02 Fujitsu Ltd Semiconductor integrated circuit
JPH05273298A (en) * 1992-03-25 1993-10-22 Sharp Corp Semiconductor integrated circuit device and its test method
JPH0818008A (en) * 1994-06-28 1996-01-19 Mitsubishi Electric Corp Semiconductor integrated circuit device
JPH0917951A (en) * 1995-06-30 1997-01-17 Sharp Corp Circuit and method for testing semiconductor integrated circuit
JPH09160684A (en) * 1995-12-11 1997-06-20 Fuji Electric Co Ltd Low consumed power device
JPH11133101A (en) * 1997-10-24 1999-05-21 Matsushita Electric Ind Co Ltd Stationary power supply current test circuit
JPH11160398A (en) * 1997-12-01 1999-06-18 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit
JP2001021609A (en) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp Method of inspecting semiconductor integrated circuit

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0552899A (en) * 1991-08-23 1993-03-02 Fujitsu Ltd Semiconductor integrated circuit
JPH05273298A (en) * 1992-03-25 1993-10-22 Sharp Corp Semiconductor integrated circuit device and its test method
JPH0818008A (en) * 1994-06-28 1996-01-19 Mitsubishi Electric Corp Semiconductor integrated circuit device
JPH0917951A (en) * 1995-06-30 1997-01-17 Sharp Corp Circuit and method for testing semiconductor integrated circuit
JPH09160684A (en) * 1995-12-11 1997-06-20 Fuji Electric Co Ltd Low consumed power device
JPH11133101A (en) * 1997-10-24 1999-05-21 Matsushita Electric Ind Co Ltd Stationary power supply current test circuit
JPH11160398A (en) * 1997-12-01 1999-06-18 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit
JP2001021609A (en) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp Method of inspecting semiconductor integrated circuit

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006317208A (en) * 2005-05-11 2006-11-24 Advantest Corp Testing device, and testing method
TWI392883B (en) * 2005-05-11 2013-04-11 Advantest Corp Testing apparatus and test method
JP4630122B2 (en) * 2005-05-11 2011-02-09 株式会社アドバンテスト Test apparatus and test method
US7634700B2 (en) 2005-08-19 2009-12-15 Infineon Technologies Ag Semiconductor device with test interface
JP2007132755A (en) * 2005-11-09 2007-05-31 Sharp Corp Method and system for inspecting circuit
JP4522352B2 (en) * 2005-11-09 2010-08-11 シャープ株式会社 Circuit inspection method and circuit inspection system
US7810003B2 (en) 2006-10-26 2010-10-05 Samsung Electronics Co., Ltd. Method of generating test clock signal and test clock signal generator for testing semiconductor devices
US8090191B2 (en) 2007-06-29 2012-01-03 Renesas Electronics Corporation Method and apparatus for inspection and fault analysis
JP2009008627A (en) * 2007-06-29 2009-01-15 Nec Electronics Corp Method and device for inspection and failure analysis device
JP2009133762A (en) * 2007-11-30 2009-06-18 Hitachi Ulsi Systems Co Ltd Semiconductor device and its test method
JP2013518285A (en) * 2010-01-29 2013-05-20 フリースケール セミコンダクター インコーポレイテッド Static current (IDDQ) indication and test apparatus and method
CN116482519A (en) * 2023-05-16 2023-07-25 苏州德机自动化科技有限公司 Self-test management system of micro integrated circuit
CN116482519B (en) * 2023-05-16 2024-01-23 苏州德机自动化科技有限公司 Self-test management system of micro integrated circuit

Also Published As

Publication number Publication date
JP4557526B2 (en) 2010-10-06

Similar Documents

Publication Publication Date Title
US20020153525A1 (en) Semiconductor device with process monitor circuit and test method thereof
JP4557526B2 (en) Semiconductor integrated circuit and failure detection method for semiconductor integrated circuit
US20050174102A1 (en) On-chip analysis &amp; computation of transition behaviour of embedded nets in integrated circuits
US7263640B2 (en) LSI, test pattern generating method for scan path test, LSI inspecting method, and multichip module
US20100033204A1 (en) Semiconductor inspection apparatus and semiconductor integrated circuit
CN106896317B (en) Circuit debugging method and circuit debugging system executed by scan chain of scan test
US7155649B2 (en) Scan test control method and scan test circuit
US20100164535A1 (en) Semiconductor device and semiconductor testing method
US7694255B2 (en) Variable delay circuit, recording medium, logic verification method and electronic device
JP2012255693A (en) Semiconductor integrated circuit and control method thereof
JP2012007910A (en) Semiconductor integrated circuit device
JP2003218216A (en) Semiconductor integrated circuit device and method of using the same
JPH11174125A (en) Semiconductor test circuit
JP2001296334A (en) Integrated circuit and failure detection method
JP2000266819A (en) Circuit and method for evaluation of operating speed for clock synchronous circuit
JP2008275337A (en) Testing device and method
JPH11133101A (en) Stationary power supply current test circuit
JP2005003628A (en) Lsi test circuit and testing method thereof
JP2004040037A (en) Inspection device for semiconductor integrated circuit
JP5453981B2 (en) LSI and test data setting method thereof
JP2005249394A (en) Semiconductor device inspection method, semiconductor inspection system, and semiconductor device
JPH11101852A (en) Variable delay element inspection circuit
JPH11248797A (en) Semiconductor integrated circuit device
US8754667B2 (en) Semiconductor device test method and semiconductor device
JP2005326203A (en) Actual speed inspection method for semiconductor integrated circuit

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061102

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091009

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100622

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100720

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130730

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees