JP2004524685A - エッチング選択度を制御するための方法と装置 - Google Patents
エッチング選択度を制御するための方法と装置 Download PDFInfo
- Publication number
- JP2004524685A JP2004524685A JP2002565343A JP2002565343A JP2004524685A JP 2004524685 A JP2004524685 A JP 2004524685A JP 2002565343 A JP2002565343 A JP 2002565343A JP 2002565343 A JP2002565343 A JP 2002565343A JP 2004524685 A JP2004524685 A JP 2004524685A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- etching
- thickness
- tool
- etch
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
Abstract
処理設備(100)は、エッチングツール(130)、第一番目の測定用ツール(120)、そしてプロセスコントローラ(150)を含み構成される。エッチングツール(130)は、複数のウェハ(110)を操作レシピに基づいてエッチングするように構成されており、個々のウェハ(110)は、少なくとも第一番目の層とこの第一番目の層を覆って形成された第二番目の層を有している。第一番目の測定用ツール(120)は、第二番目の層の厚みをエッチング前に測定するように構成されている。プロセスコントローラ(150)は、第二番目の層のエッチング前に測定された厚みに基づいてエッチング選択性パラメータを決定し、エッチング選択性パラメータに基づいてエッチングツール(130)の操作レシピを変更するように構成されている。
Description
【0001】
本発明は一般的に半導体装置製造の分野に関し、特にエッチング選択度を制御するための方法と装置に関するものである。
【背景技術】
【0002】
トランジスタなどの半導体装置のサイズまたはスケールを減少させることは、トランジスタを組み込む装置の全体としての速度を向上させることができるために恒常的な目標となっている。マイクロプロセッサなどの従来の集積回路装置は通常は半導体基板の表面上に形成された何百万個ものトランジスタから構成される。
【0003】
最近の集積回路装置の多くは非常に高密度に実装されている。すなわち、基板上に形成されたトランジスタの間のスペースは、非常に僅かである。半導体装置の製造には、未精製の半導体用の材料からパッケージされた半導体装置を作成するために個別のプロセス工程を必要とする。これら様々な過程には、最初の半導体材料の成長、半導体結晶を薄板状に切り個々のウェハにすること、製作工程 (エッチング、ドーピング、イオン注入、または類似の工程)、そして、パッケージングや完成した半導体装置の最終的な検査が含まれる。
【0004】
半導体装置の製過程中で重要な様相(aspects)としては、急速熱アニーリング(RTA;rapid thermal annealing)過程のコントロール、化学的−機械的研磨(CMP)コントロール、エッチングコントロール、およびオーバレイコントロールが挙げられる。 半導体装置をより小さい限界的な寸法とするのを促進するための技術進歩につれて、誤りを減少させる必要性は劇的に増加している。半導体装置中での各小区画(subsections)が適切に形成されることは、製造された半導体装置の適切な動作性能を保証するための重要な要素である。一般には製造品質が許容範囲内となるように、小区画の臨界的な寸法は半導体装置の誤差の定められた許容範囲に入っていなければならない。
【発明の開示】
【発明が解決しようとする課題】
【0005】
一般に半導体装置に関する大部分の特徴は、原材料(例えば、伝導性或いは絶縁性の)の層群を蒸着し、フォトリソグラフィー(photolithography)とエッチングの各工程を用いて、これらの層群をパターニングすることによって形成される。多くの変数が、半導体装置の特徴を形成するために用いられるエッチング工程の精度と再現性に影響を与える。ある特定のエッチング工程群には、ウェハ上に形成された上部層の一部分を取り除くプラズマエッチングが含まれている。プラズマエッチングは主として異方性エッチングであるが、等方性の成分(component)も持つ。エッチング過程で、プラズマ中の反応体は高分子副産物を形成する。それはエッチングされている構造を含む、プラズマに曝された表面上に堆積する。エッチングされている構造の側壁表面に形成された高分子は、エッチングの異方性の成分によっては取り除かれない。各エッチング工程では、典型的にはハロカーボン(halocarbon;含ハロゲン炭素化合物)ガス(すなわち、塩素やフッ素や炭化水素基など、ハロゲンを含むガス)が使用される。炭化水素基のイオンがプラズマの中で発生して、異方性エッチングを実行するためにウェハの表面に向かって加速される。異方性エッチング成分は、同時に、イオンの流れ方向に垂直な表面の高分子の蓄積物を取り除く。プラズマの中ではハロゲン化基(ラジカル)もまた発生して、これは高分子がスパッタリンッグされているところの表面膜を取り除くという等方性の化学エッチング効果を持っている。等方性エッチング成分も、また、側壁表面に働くが、より「平坦な」表面に対して与える影響に比べてより少ない。
【0006】
除去が必要な層が取り除かれた後には、プラズマエッチング過程は通常は、下地(下側)層をある程度エッチングする。例えば、トランジスタの形成の間に、シリコン二酸化物層の上にポリシリコン層が形成される。次に、トランジスタのゲート電極を形成するためにポリシリコンに異方性のプラズマエッチングを行う。また、ポリシリコンのエッチングの間に、シリコン二酸化物は、部分的にエッチングされる。例えば、シリコン二酸化物の上のシリコン窒化物をプラズマエッチングする場合において、下地層のエッチングが明らかに発生する。搬入されるウェハの表面側および下地側の各層それぞれの厚みの変動と、プラズマエッチング過程での表面側および下地側の選択性の変動(例えば異なる材料に対して表面側および下側の各層それぞれへのエッチング速度(etch rates )は異なる)により、下地層のエッチング後の厚みが目標の厚みから異なってくる。こうしたエッチング後の厚みの偏差に対応して、半導体装置の特性と動作性能の変動を引き起こす可能性がある。エッチング後の厚み変動を最小にすることは、例えばポリシリコンゲート電極、ローカルインターコネクト構造などの構造の形成のために特に重要である。
【0007】
本発明は、上述した問題の1つ或いはそれ以上について、克服するかまたは少なくとも影響を減少させることを意図している。
【課題を解決するための手段】
【0008】
本発明の1つの態様は、エッチング工程を制御するための方法として示される。この方法は、少なくとも第一番目の層と第一番目の層上に形成された第二番目の層を有するウェハを供給する過程を含んでいる。第二番目の層の厚みが測定される。
測定された第二番目の層の厚みに基づいてエッチング選択性(etch selectivity)のパラメータが決定される。エッチング・ツールの操作レシピ(operating recipe)が選択性パラメータに基づいて修正される。
【0009】
本発明の別の態様が、エッチング・ツールと第一番目の測定用ツールおよびプロセスコントローラを含む処理設備として示される。エッチング・ツールは、操作レシピに基づいて複数のウェハをエッチングするように構成されている。個々のウェハは少なくとも第一番目の層とこの第一番目の層を覆って表面上に形成された第二番目の層を有している。最初の測定用ツールは、エッチング前の第二番目の層の厚みを測定するように構成されている。プロセスコントローラは、第二番目の層のエッチング前に測定された厚みに基づいてエッチング選択性パラメータを決定し、このエッチング選択性パラメータに基づいてエッチング・ツールの操作レシピを変更・調節するように構成されている。
【0010】
(図面の簡単な説明)
本発明は、添付図面群に関連づけた以下の記述によって理解されるであろう。各図では、類似の参照番号は同様の要素であることを示している。
図1は本発明の一実施形態に従った処理設備の簡易化されたブロックダイアグラムである。
図2は本発明の1つの実施形態に従ったニューラルネットワークのモデル化システムの簡易化されたダイヤグラムである。
そして、図3は本発明の一実施例に従った、ゲート電極の長さの変動を抑制するための方法の簡易化されたフローチャートである。
【0011】
本発明は、様々な変更と代替の形態を許容するものであるから、その特定の実施形態を一例として図面に示し、これにより詳細に説明している。しかしながら、ここでの特定の実施形態についての記述は、本発明をここに開示された特定の態様に制限する意図はなく、逆に、全ての変形・変更や均等のもの、代替手段等は、添付の特許請求の範囲の記載により規定される本発明の思想と範囲に含まれることが理解されるべきである。
【発明を実施するための最良の形態】
【0012】
発明の実施形態を以下に詳述する。明瞭化のために、この明細書中では実際の実施形態のすべての特徴について説明してはいない。そのようななんらかの実際の実施形態の開発においては、多くの設計上の詳細な決定、システム関連の対処、そして、ビジネス関連の規制への対応など、実機の設計に対応して変化しうる開発者の特定の目標を達成するための決定がなされなければならないことは当然に理解されるであろう。そのうえ、そのような開発の努力は複雑であって、時間を要するかもしれないが、それにもかかわらず、この公開の恩恵に預かる当業者にとっては、通常の仕事であることは理解されるであろう。
【0013】
図1を参照すると、本発明に従った一実施形態として、ウェハ110を処理するための処理設備100の一部分の簡易化されたダイヤグラムが提供されている。
処理設備100は、エッチング前の測定用ツール120、エッチング用ツール130、エッチング後の測定用ツール140、およびプロセスコントローラ150を含み構成されている。プロセスコントローラ150は、測定用ツール120、140からのデータを受け取って、エッチング選択性を制御するためにエッチングツールの操作レシピを調整し、これによって、処理後のウェハ110の特性のばらつきを減少させる。
【0014】
エッチングツール130の所望機能を遂行するのに適した典型的ツールには、Lam Research社によって提供されている「Rainbow 9400 plasma etch tool」がある。そして、測定用ツール120、140は、Thermawave, Inc.社によって提供される「Optiprobe thickness measuring tools」の如き厚み測定装置である。図では、別個の測定用ツール120, 140が示されているが、一つの測定用ツールをエッチング前およびエッチング後の厚み測定に用いることができる。測定用ツール120、140は、エッチングツール130に統合された構成とすることもできる。プロセスコントローラ150は、エッチングツール130のエッチング選択性モデルを含んでいる。このモデルは、エッチングされる上部層およびこの上部層の下側の下地層のエッチング前の厚みとエッチング後の厚みについて、測定用ツール120, 140から得られる入力値に基づいて生成および/または更新される。上部層と下地層のための材料の組み合わせには多くの可能な組み合わせがある。上部層と下地層の材料の典型的な組合せは、ポリシリコンとシリコン二酸化物、シリコン二酸化物とシリコン窒化物、シリコン窒化物とシリコン二酸化物等である。
【0015】
図示された実施例では、プロセスコントローラ150はここで説明された機能を実行するためのソフトウェアがプログラムされたコンピュータである。
しかしながら、当業者にとって自明なように、また、特定の機能を実行するように設計されたハードウェアコントローラを使用することもできる。更には、ここで説明されるような、プロセスコントローラ150によって実行される機能は、システム中で分散配置される複数のコントローラ装置によって実行させることが可能である。更に、プロセスコントローラ150はスタンドアロンのコントローラであっても良いし、エッチングツール130に組み込まれていても良く、或いは、それは集積回路製造施設でのシステム制御操作の一部であっても良い。発明の一部分と対応する詳細な説明は、アルゴリズム、コンピュータメモリ中のデータビットとしての操作のシンボリックな表現などのソフトウェアという見地から示される。これらの説明と表現は、当業者が他の当業者に、それらの仕事の要旨を効果的に伝えるためのものである。ここで使用されまた一般的に使用されるアルゴリズムという用語は、必要とする結果を得るためのステップの首尾一貫した系列を意味する。ステップは物理的な量の物理的な操作を要求するものを指す。必ずしも必要ではないが、通常これらの量は、格納し、転送し、結合し、比較したり操作することができるような、光学的、電気的または、磁気的な信号の形態を取る。広く用いられているとの主たる理由で、これらの信号をビット、値、要素、シンボル、キャラクタ、用語、数、または同様のものとして言及すると時には便利であることが知られている。
【0016】
しかしながら、これらおよび類似の用語のすべては、適切な物理的な量に関連づけられており、これらの量に適用された単に便利なラベルであるという点に留意すべきである。明確にそうでないと断らない限り、または論説からそのままで明らかな場合には、「処理」、「コンピューティング」、「計算」、「決定」または「表示」などの用語または同様のものは、コンピュータシステム或いは同様の電子計算機上での動作とプロセスを意味している。これら装置は、コンピュータシステム上のレジスタ、メモリ内の物理的、電気的な量をデータを操作し変換して同様にコンピュータシステムメモリやレジスタ、或いは他の同種の情報格納装置、情報伝送装置、情報表示装置で物理量を表す別なデータにするものである。
【0017】
上述のプロセスコントローラ140で機能するように構成可能な典型的なソフトウェアシステムのひとつは、KLA-Tencor, Inc.社が提供する「Catalyst system」である。「Catalyst system」は、「国際半導体製造装置協会 (SEMI) 」、「Computer Integrated Manufacturing (CIM) Framework compliant system technologies」を利用しており、「the Advanced Process Control (APC) Framework」に基づいている。CIM(SEMI E8 1-0699 - Provisional Specification for CIM Framework Domain Architecture)とAPC(SEMI E93 -0999 - Provisional Specification for ClM Framework Advanced Process Control Component)仕様書がSEMIから一般に入手可能である。
【0018】
エッチング前用の測定用ツール120は、上部層および下地層の厚みを導入時に測定して、エッチング前厚み測定値をプロセスコントローラ150に供給する。プロセスコントローラ150は、エッチング前厚み測定値に基づいて、エッチングツール130のエッチング選択性を制御するための操作レシピパラメータを生成する。エッチング選択性を制御することで、エッチングツール130のエッチング速度を上部層および下地層の材料に対して制御することになり、結果、上部層および下地層のエッチング処理後の厚みに影響を与えることとなる。エッチング後測定用ツール140によって提供されるエッチング処理後の厚み測定値は、プロセスコントローラ150が、エッチングツール130の操作レシピを決定する際に使われるエッチング選択性モデルを更新するのに使用可能である。
【0019】
エッチング選択性に強く影響を与える代表的要素は、温度、圧力、反応ガスの成分構成である。一般に、温度が増加するのに従って、プラズマ反応物によって形成される高分子副産物は少なくなる。この副産物形成の減少効果は、概してプラズマの等方性エッチング速度を増加させる。圧力を減少させるとイオンのエネルギーが増え、エッチング部に垂直な表面上に形成される高分子物質はより急速に取り除かれる。この結果として、異方性エッチング速度が増加する。
【0020】
典型的なプラズマ反応ガス混合物は、一種類或いはより多くのハロカーボン(含ハロゲン炭素化合物)ガス、例えば、C2F8、C4F8、CHF3、CF4、CCl4などを含んでいる。多くのその他のハロカーボンガスも一般的に使用される。エッチング選択性は、プラズマ中のハロカーボンガスの濃度の比率を変えることによって制御することもできる。例えば、CHF3とCF4を含むプラズマを考えてみる。適用例としては、シリコン二酸化物層がTEOSデポジションプロセスによって形成され、続いてspin-on-glass (SOG)手法によってデポジションと硬化が行われる。TEOSとSOGの両方がエッチングプラズマにさらされ、形成された誘電体は平担化エッチング作用を受ける。これらの層の相対的エッチング速度(etch rates)は、最終構造の平坦化度合いを決定する。CHF3ガスとCF4ガスの合計流量を等しく保って、二種類のガスの比率を変えることによって、選択性を最適化することができる。CF4流量の対CHF3流量比率を増加させると、TEOSのSOGに対するエッチング速度の比率は増加する。同様に、CF4の流量比率を減少させると、SOGのエッチング速度の比率は増加する。より高いCHF3濃度でのエッチングの過程では、高分子形成の割合が増える。
【0021】
エッチングツール130のレシピを変えながら、プロセスコントローラ150は、パラメータ或いは基礎レシピの中のパラメータ群を変えることもできるし、またはその代わりに、プロセスコントローラ150は完全に新しいレシピを用意することもできる。
【0022】
プロセスコントローラ150は、ウェハ毎に、ロット毎に、または一回の同時処理対象のロットグループ毎に、レシピを更新することが可能である。
プロセスコントローラ150は、フィードバックモード動作或いはフィードフォワードモード動作において、エッチングツール130のレシピを変えることができる。
【0023】
フィードバックモードにおいては、目標のエッチング処理後厚みの関係で、測定用ツール120、140からの厚み測定値を用いて、続く処理対象ウェハのための新しい操作レシピを決定する。フィードフォワードモードにおいては、プロセスコントローラ150は、エッチング前測定用ツール120から入って来る厚み測定値を受け取って、エッチング選択性を制御するための操作レシピパラメーターを予測する。その後の、エッチング後の測定値は、続くウェハのための予測モデルを更新するために使用できる。
【0024】
エッチング選択性モデルは、プロセスコントローラ150によって生成されてもよいし、代わりに、別の異なった処理制御リソース(図示せず)によって生成した後にプロセスコントローラ150に蓄積してもよい。エッチング選択性モデルは、エッチングツール130を利用して、或いは同様の動作特性を持った別のツール(図示せず)を用いて開発してもよい。説明の都合上、エッチング選択性モデルは、測定用ツール120, 140によって計測されたエッチングツール130による実際の動作に基づいてプロセスコントローラ150または他の制御リソースによって生成され更新されるものと仮定して説明する。エッチング選択性モデルは、エッチングツール130の数多くのプロセス実行動作から収集される過去データに基づいて練成される。エッチング選択性モデルは、比較的簡単な方程式に基づいたモデル(例えば、線形、指数関数的、加重平均など)でもよいし、或いはより複雑な例えばニューラル・ネットワークモデル、主成分分析 (PCA)モデル或いは部分最小二乗回帰(PLS)といったモデルを用いることができる。モデルの実施内容は、選択されたモデル化技術に依存して変更されるかもしれない。また、そのような実施方法は、当業者に良く知られている。
【0025】
次の例は、エッチングツール130のエッチング選択性モデルがどのように生成されるかを高いレベルで説明する実例として提供される。モデルの実施内容は、選択されたモデル化技術に依存して変更されるかもしれない。また、そのような実施方法は、当業者によく知られている。従って、明快さと説明の容易化のために、そのような特定の詳細部分はここでは詳細には説明しない。
【0026】
手短に図2の説明に移ると、ニューラルネットワーク200の簡易化されたダイヤグラムが提供されている。ニューラルネットワーク200は入力層210、隠された層220、および出力層230を含む。入力層210は、エッチングツール130のエッチング選択性をモデル化するのに適切と考えられる入力値群を受け取る。図示実施例中では、測定用ツール120,140によって測定される導入された上部層および下地層の厚みが入力として受理される。もっともその他の入力も使用可能である。ニューラル・ネットワーク200が、エッチングツール130或いは類似したツール(図示せず)の過去の実行データにさらされるトレーニング過程において、隠された層220は、エッチング後の下地層の厚みを決定するために、エッチングツール130の操作レシピ中のレシピパラメータが持つ効果を「学習する」。隠された層220は、将来の性能を予測するために、入力の各々におよび/または 入力のコンビネーションに対して重み付けを行う。過去のデータの分析を通じて、重み付け値群はモデルが将来の性能予測に成功する確率を増加させるように変更される。出力層230は、例えばエッチングを実行しかつ下地層のエッチング後の厚みが目標の厚みに到達するために必要とされる温度、圧力、反応物ガス組成の予測を生成するために、隠された層220の操作を引き出す。
【0027】
一度モデルが十分に訓練されれば、生産環境の中で、現在の入力値測定に基づいてエッチングツール130の動作を予測するために使用することができる。神経状ネットワーク200によって予測された結果に基づいて、デポジションコントロールパラメータが予測され、これに従ってエッチングツール130の操作レシピが変更される。生産環境中で、エッチング後測定用ツール140からの周期的な測定値がフィード・バックとしてプロセスコントローラ150に供給され、エッチング選択性モデルが更新される。
【0028】
さて、図3を参照すると、エッチングツール130の選択性制御のための方法のフローチャートが提供されている。処理ブロック300において、第一番目と第二番目の層を持っているウェハが準備される。第二番目の層は第一番目の層の上に形成されている。ウェハは、処理ブロック310において、少なくとも第二番目の層の一部が露出するようにパターニングされている。処理ブロック320では、第二番目の層の厚みが測定される。1つの実施形態では、第一番目の層の厚みも測定される。処理ブロック330では 第二番目の層の厚みに基づいてエッチング選択性パラメータ群が決定される(もしも、第一番目の層の厚みが測定されているならばこれにも基づいて決定がなされる)。エッチング選択性パラメータの決定は、フィード・フォワード予測モデル化技術を用いて、或いはフィード・バック技術を用いて遂行することができる。処理ブロック340では、少なくとも第一番目の層がエッチング選択性パラメータに基づいてエッチングされる。フィードフォワードモードの場合は、このエッチング処理は現在のウェハに対して実行される。フィードバックモードの場合には、このエッチング処理はその後に続くウェハに対して実行される。
【0029】
上述されるようなエッチング選択性の制御は、エッチング処理後の下地層の厚み変動を減少させ、結果として、より安定して反復可能な処理プロセスをもたらす。変動の縮小を達成するためにリアル・タイムコントロールモデルを使用することによって、処理ライン100のスループット、および最終生産物の品質を向上させることができる。
増加したスループットと縮小した変動は、直接的に収益性の増加に結び付く。
【0030】
以上説明した特別の実施形態は単なる例示であり、本発明は、ここでの教示の恩恵を受けた当業者にとっては明らかな、異なる方法だが均等な方法に修正しても実施可能である。更には、ここに示された構造或いは設計の詳細は、以下の各請求項で述べられている以上には、如何なる制限をも意図するものではない。従って、上に示された実施形態は変更され、修正され得ることは明白であって、また、そのような変更はすべて、発明の権利範囲および技術思想内に含まれるものと考慮される。すなわち、ここで求められる保護は、以下の請求項に詳しく述べられているものである。
【図面の簡単な説明】
【0031】
【図1】本発明の一実施形態に従った処理設備の簡易化されたブロックダイアグラムである。
【図2】本発明の1つの実施形態に従ったニューラルネットワークのモデル化システムの簡易化されたダイヤグラムである。
【図3】本発明の一実施例に従った、ゲート電極の長さの変動を抑制するための方法の簡易化されたフローチャートである。
Claims (10)
- エッチングプロセスを制御するための方法であって、
少なくとも第一番目の層と、この第一番目の層を覆って形成された第二番目の層を有するウェハを供給する処理、
前記第二番目の層の厚みを測定する処理、
前記第二番目の層の測定された厚さに基づいて、エッチング選択性パラメータを決定する処理、
前記エッチング選択性パラメータに基づいて、エッチングツール(130)の操作レシピを調整する処理を含むエッチングプロセスを制御するための方法。 - 前記第一番目の層の厚みを測定する処理をさらに含み、前記エッチング選択性パラメータを決定する際に、前記第一番目の層の測定された厚さに基づいて前記エッチング選択性パラメータを決定する、請求項1記載の方法。
- 前記操作レシピに基づいて少なくとも前記第二番目の層をエッチングする処理をさらに含む、請求項1記載の方法。
- 前記エッチング選択性パラメータを決定する際に、温度、圧力、および二種類の反応ガスの濃度の比率のうち少なくとも1つを決定する、請求項1記載の方法。
- 少なくとも前記第一番目の層の一部を操作レシピに基づいてエッチングする処理、
前記第一番目の層の残っている部分の厚みを測定する処理、
測定された前記残っている部分の厚みを目標の厚みと比較する処理、
測定された前記残っている部分の厚みと前記目標の厚みとの差に基づいて、前記エッチングツール(130)の操作レシピを調整する処理をさらに含む請求項3記載の方法。 - 少なくとも第一番目の層とこの第一番目の層を覆って形成される第二番目の層とを有する複数のウェハ(110)を、操作レシピに基づいてエッチングするように構成されたエッチングツール(130)と、
前記第二番目の層の厚みをエッチング前に測定するように構成された第一番目の測定用ツール(120)と、
前記第二番目の層のエッチング前に測定された厚みに基づいてエッチング選択性パラメータを決定し、前記エッチング選択性パラメータに基づいてエッチングツール(130)の操作レシピを変更するように構成されたプロセスコントローラ(150)とを備える処理設備(100)。 - 前記第一番目の測定用ツール(120)が、さらにエッチング前の第一番目の層の厚みを測定するように構成されており、前記プロセスコントローラ(150)が、前記エッチング前に測定された前記第一番目の層の厚みに基づいて前記エッチング選択性パラメータを決定するように構成されている、請求項6に記載の処理設備(100)。
- 前記エッチングツール(130)が、前記操作レシピに基づいて少なくとも前記第二番目の層をエッチングするように構成されている、請求項6に記載の処理設備(100)。
- 前記エッチング選択性パラメータは、温度、圧力、および二種類の反応ガスの濃度の比率のうちの少なくとも1つを含む、請求項6に記載の処理設備(100)。
- 前記エッチングツール(130)は、前記操作レシピに基づいて前記第一番目の層の少なくとも一部分をエッチングするように構成されており、処理設備(100)が、前記第一番目の層の残っている部分の厚みを測定するように構成された第二番目の測定用ツール(140)をさらに備え、前記プロセスコントローラ(150)は、前記残っている部分の厚みと目標の厚みとを比較し、前記残っている部分の厚みと前記目標の厚みとの差に基づいて、前記エッチングツール(130)の前記操作レシピを変更するように構成されている、請求項8に記載の処理設備(100)。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US78342301A | 2001-02-14 | 2001-02-14 | |
PCT/US2002/002235 WO2002065511A2 (en) | 2001-02-14 | 2002-01-25 | Method and apparatus for controlling etch selectivity |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2004524685A true JP2004524685A (ja) | 2004-08-12 |
JP4191484B2 JP4191484B2 (ja) | 2008-12-03 |
Family
ID=25129200
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002565343A Expired - Lifetime JP4191484B2 (ja) | 2001-02-14 | 2002-01-25 | エッチング選択度を制御するための方法 |
Country Status (9)
Country | Link |
---|---|
US (1) | US7456110B2 (ja) |
JP (1) | JP4191484B2 (ja) |
KR (1) | KR100847368B1 (ja) |
CN (1) | CN1258811C (ja) |
AU (1) | AU2002240097A1 (ja) |
DE (1) | DE10296328B4 (ja) |
GB (1) | GB2393852B (ja) |
TW (1) | TWI247332B (ja) |
WO (1) | WO2002065511A2 (ja) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007507886A (ja) * | 2003-09-30 | 2007-03-29 | 東京エレクトロン株式会社 | 半導体製造プロセスを制御する第1の原理シミュレーションを用いたシステム及び方法。 |
US8014991B2 (en) | 2003-09-30 | 2011-09-06 | Tokyo Electron Limited | System and method for using first-principles simulation to characterize a semiconductor manufacturing process |
US8032348B2 (en) | 2003-09-30 | 2011-10-04 | Tokyo Electron Limited | System and method for using first-principles simulation to facilitate a semiconductor manufacturing process |
US8036869B2 (en) | 2003-09-30 | 2011-10-11 | Tokyo Electron Limited | System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model |
US8296687B2 (en) | 2003-09-30 | 2012-10-23 | Tokyo Electron Limited | System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool |
KR20140143841A (ko) * | 2012-04-11 | 2014-12-17 | 도쿄엘렉트론가부시키가이샤 | Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막 |
KR20180102014A (ko) * | 2017-03-06 | 2018-09-14 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 시스템, 제어 장치 및 기판 처리 방법 |
JP2021086991A (ja) * | 2019-11-29 | 2021-06-03 | スピードファム株式会社 | エッチング装置、およびエッチング方法 |
Families Citing this family (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
AU2003278750A1 (en) * | 2002-08-28 | 2004-03-19 | Tokyo Electron Limited | Method and system for dynamic modeling and recipe optimization of semiconductor etch processes |
JP4694150B2 (ja) * | 2003-06-20 | 2011-06-08 | 東京エレクトロン株式会社 | 処理方法及び処理システム |
CN101256945B (zh) * | 2003-06-20 | 2011-08-03 | 东京毅力科创株式会社 | 处理方法和处理系统 |
US7158851B2 (en) * | 2003-06-30 | 2007-01-02 | Tokyo Electron Limited | Feedforward, feedback wafer to wafer control method for an etch process |
US7479454B2 (en) | 2003-09-30 | 2009-01-20 | Tokyo Electron Limited | Method and processing system for monitoring status of system components |
DE102006004430B4 (de) * | 2006-01-31 | 2010-06-10 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen |
US8227339B2 (en) * | 2009-11-02 | 2012-07-24 | International Business Machines Corporation | Creation of vias and trenches with different depths |
DE102010040869A1 (de) * | 2010-09-16 | 2012-03-22 | Robert Bosch Gmbh | Vorrichtung zum Ätzen von Halbleiter-Wafern mit einer Inline-Prozesskontrolle |
CN102479670B (zh) * | 2010-11-30 | 2015-11-25 | 中芯国际集成电路制造(北京)有限公司 | 一种半导体装置及使用方法 |
US8429569B2 (en) * | 2011-04-14 | 2013-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for feed-forward advanced process control |
US20130203188A1 (en) * | 2012-02-03 | 2013-08-08 | Globalfoundries Inc. | Hybrid metrology for semiconductor devices |
US10840102B2 (en) * | 2013-11-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated system, integrated system operation method and film treatment method |
US9378975B2 (en) * | 2014-02-10 | 2016-06-28 | Tokyo Electron Limited | Etching method to form spacers having multiple film layers |
SG11201703129YA (en) | 2014-10-17 | 2017-05-30 | Lam Res Corp | Gas supply delivery arrangement including a gas splitter for tunable gas flow control |
US11209804B2 (en) * | 2014-11-11 | 2021-12-28 | Applied Materials, Inc. | Intelligent processing tools |
US10386821B2 (en) | 2015-06-22 | 2019-08-20 | Lam Research Corporation | Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values |
US10763142B2 (en) * | 2015-06-22 | 2020-09-01 | Lam Research Corporation | System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter |
US10381248B2 (en) | 2015-06-22 | 2019-08-13 | Lam Research Corporation | Auto-correction of electrostatic chuck temperature non-uniformity |
CN106444365B (zh) * | 2015-08-12 | 2020-03-10 | 中芯国际集成电路制造(上海)有限公司 | 晶圆刻蚀的控制方法及晶圆制造方法 |
US10386829B2 (en) * | 2015-09-18 | 2019-08-20 | Kla-Tencor Corporation | Systems and methods for controlling an etch process |
US11011351B2 (en) | 2018-07-13 | 2021-05-18 | Lam Research Corporation | Monoenergetic ion generation for controlled etch |
NL2021938B1 (en) * | 2018-11-05 | 2020-05-15 | Suss Microtec Lithography Gmbh | Method for measuring a thickness of a layer, method for controlling a substrate processing device as well as substrate processing device |
CN111128781A (zh) * | 2019-12-27 | 2020-05-08 | Tcl华星光电技术有限公司 | 测量金属完全蚀刻时间的方法及系统、存储介质 |
US20220165541A1 (en) * | 2020-11-24 | 2022-05-26 | Applied Materials, Inc. | Etch feedback for control of upstream process |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4687543A (en) * | 1986-02-21 | 1987-08-18 | Tegal Corporation | Selective plasma etching during formation of integrated circuitry |
US5425839A (en) * | 1992-05-14 | 1995-06-20 | Texas Instruments Incorporated | Method for rapidly etching material on a semiconductor device |
US5372673A (en) * | 1993-01-25 | 1994-12-13 | Motorola, Inc. | Method for processing a layer of material while using insitu monitoring and control |
US5770098A (en) * | 1993-03-19 | 1998-06-23 | Tokyo Electron Kabushiki Kaisha | Etching process |
US5526293A (en) * | 1993-12-17 | 1996-06-11 | Texas Instruments Inc. | System and method for controlling semiconductor wafer processing |
US5741396A (en) * | 1994-04-29 | 1998-04-21 | Texas Instruments Incorporated | Isotropic nitride stripping |
US5795493A (en) * | 1995-05-01 | 1998-08-18 | Motorola, Inc. | Laser assisted plasma chemical etching method |
JPH10209117A (ja) | 1997-01-24 | 1998-08-07 | Matsushita Electric Ind Co Ltd | ドライエッチングによる平坦化方法 |
US6074959A (en) * | 1997-09-19 | 2000-06-13 | Applied Materials, Inc. | Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide |
US6148239A (en) * | 1997-12-12 | 2000-11-14 | Advanced Micro Devices, Inc. | Process control system using feed forward control threads based on material groups |
US6635185B2 (en) * | 1997-12-31 | 2003-10-21 | Alliedsignal Inc. | Method of etching and cleaning using fluorinated carbonyl compounds |
US6136712A (en) * | 1998-09-30 | 2000-10-24 | Lam Research Corporation | Method and apparatus for improving accuracy of plasma etching process |
KR20000025581A (ko) * | 1998-10-13 | 2000-05-06 | 윤종용 | 유니폴라형 척을 갖춘 건식 식각장치의 냉각 시스템 및 그 제어방법 |
TW405204B (en) | 1998-12-22 | 2000-09-11 | United Microelectronics Corp | Method to control the etching process |
AU5881700A (en) * | 1999-06-22 | 2001-01-09 | Brooks Automation, Inc. | Run-to-run controller for use in microelectronic fabrication |
US6133132A (en) * | 2000-01-20 | 2000-10-17 | Advanced Micro Devices, Inc. | Method for controlling transistor spacer width |
US6333271B1 (en) * | 2001-03-29 | 2001-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-step plasma etch method for plasma etch processing a microelectronic layer |
US6500681B1 (en) * | 2002-01-11 | 2002-12-31 | Advanced Micro Devices, Inc. | Run-to-run etch control by feeding forward measured metal thickness |
-
2002
- 2002-01-25 WO PCT/US2002/002235 patent/WO2002065511A2/en active Application Filing
- 2002-01-25 GB GB0319682A patent/GB2393852B/en not_active Expired - Fee Related
- 2002-01-25 KR KR1020037010564A patent/KR100847368B1/ko not_active IP Right Cessation
- 2002-01-25 DE DE10296328T patent/DE10296328B4/de not_active Expired - Fee Related
- 2002-01-25 CN CNB028049462A patent/CN1258811C/zh not_active Expired - Fee Related
- 2002-01-25 JP JP2002565343A patent/JP4191484B2/ja not_active Expired - Lifetime
- 2002-01-25 AU AU2002240097A patent/AU2002240097A1/en not_active Abandoned
- 2002-02-07 TW TW091102164A patent/TWI247332B/zh not_active IP Right Cessation
-
2004
- 2004-11-23 US US10/996,034 patent/US7456110B2/en not_active Expired - Lifetime
Cited By (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007507886A (ja) * | 2003-09-30 | 2007-03-29 | 東京エレクトロン株式会社 | 半導体製造プロセスを制御する第1の原理シミュレーションを用いたシステム及び方法。 |
US8014991B2 (en) | 2003-09-30 | 2011-09-06 | Tokyo Electron Limited | System and method for using first-principles simulation to characterize a semiconductor manufacturing process |
US8032348B2 (en) | 2003-09-30 | 2011-10-04 | Tokyo Electron Limited | System and method for using first-principles simulation to facilitate a semiconductor manufacturing process |
US8036869B2 (en) | 2003-09-30 | 2011-10-11 | Tokyo Electron Limited | System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model |
JP4795957B2 (ja) * | 2003-09-30 | 2011-10-19 | 東京エレクトロン株式会社 | 半導体製造プロセスを制御する第1の原理シミュレーションを用いたシステム及び方法。 |
US8050900B2 (en) | 2003-09-30 | 2011-11-01 | Tokyo Electron Limited | System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process |
US8073667B2 (en) | 2003-09-30 | 2011-12-06 | Tokyo Electron Limited | System and method for using first-principles simulation to control a semiconductor manufacturing process |
US8296687B2 (en) | 2003-09-30 | 2012-10-23 | Tokyo Electron Limited | System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool |
KR20140143841A (ko) * | 2012-04-11 | 2014-12-17 | 도쿄엘렉트론가부시키가이샤 | Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막 |
KR101644732B1 (ko) | 2012-04-11 | 2016-08-01 | 도쿄엘렉트론가부시키가이샤 | Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막 |
KR20180102014A (ko) * | 2017-03-06 | 2018-09-14 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 시스템, 제어 장치 및 기판 처리 방법 |
JP2018148022A (ja) * | 2017-03-06 | 2018-09-20 | 東京エレクトロン株式会社 | 基板処理システム、制御装置及び基板処理方法 |
KR102195780B1 (ko) | 2017-03-06 | 2020-12-28 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 시스템, 제어 장치 및 기판 처리 방법 |
JP2021086991A (ja) * | 2019-11-29 | 2021-06-03 | スピードファム株式会社 | エッチング装置、およびエッチング方法 |
JP7348640B2 (ja) | 2019-11-29 | 2023-09-21 | スピードファム株式会社 | エッチング装置、およびエッチング方法 |
Also Published As
Publication number | Publication date |
---|---|
DE10296328T5 (de) | 2004-04-15 |
TWI247332B (en) | 2006-01-11 |
GB2393852B (en) | 2005-04-13 |
GB0319682D0 (en) | 2003-09-24 |
WO2002065511A2 (en) | 2002-08-22 |
US20050098535A1 (en) | 2005-05-12 |
JP4191484B2 (ja) | 2008-12-03 |
CN1491434A (zh) | 2004-04-21 |
WO2002065511A3 (en) | 2003-03-20 |
DE10296328B4 (de) | 2010-04-08 |
KR100847368B1 (ko) | 2008-07-21 |
AU2002240097A1 (en) | 2002-08-28 |
US7456110B2 (en) | 2008-11-25 |
KR20030076672A (ko) | 2003-09-26 |
GB2393852A (en) | 2004-04-07 |
CN1258811C (zh) | 2006-06-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4191484B2 (ja) | エッチング選択度を制御するための方法 | |
US7067333B1 (en) | Method and apparatus for implementing competing control models | |
KR101530098B1 (ko) | 금속 게이트 구조에 대한 다층/다중입력/다중출력(mlmimo) 모델의 이용 방법 | |
KR101568879B1 (ko) | 다층/다중입력/다중출력(mlmimo) 모델의 설정 및 이용 방법 | |
US8019458B2 (en) | Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures | |
US8501499B2 (en) | Adaptive recipe selector | |
KR100970492B1 (ko) | 처리층 컨포멀리티의 결정을 위한 방법 및 장치 | |
US8532796B2 (en) | Contact processing using multi-input/multi-output (MIMO) models | |
US20090081815A1 (en) | Method and Apparatus for Spacer-Optimization (S-O) | |
US7765077B2 (en) | Method and apparatus for creating a Spacer-Optimization (S-O) library | |
US6728591B1 (en) | Method and apparatus for run-to-run control of trench profiles | |
KR100768580B1 (ko) | 식각 공정 툴에 의해 반도체 웨이퍼를 식각하는 방법 및 시스템과 이에 사용되는 프로그램 저장 장치 | |
KR100836945B1 (ko) | 게이트 전극 폭의 변동을 감소시키는 방법 | |
JP2009521800A (ja) | 情報信憑性に基づく改良された状態推定 | |
JP5176902B2 (ja) | 電子デバイスの製造方法及び設定装置 | |
CN102365392A (zh) | 等离子体蚀刻方法 | |
US7473566B1 (en) | Method and apparatus for controlling a film formation process with multiple objectives |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041125 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20071016 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20071023 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080122 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080129 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080222 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080229 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080321 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080328 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080423 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080909 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080918 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110926 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120926 Year of fee payment: 4 |