KR20030076672A - 식각 선택도를 제어하기 위한 방법 및 장치 - Google Patents

식각 선택도를 제어하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20030076672A
KR20030076672A KR10-2003-7010564A KR20037010564A KR20030076672A KR 20030076672 A KR20030076672 A KR 20030076672A KR 20037010564 A KR20037010564 A KR 20037010564A KR 20030076672 A KR20030076672 A KR 20030076672A
Authority
KR
South Korea
Prior art keywords
layer
etching
thickness
tool
etch
Prior art date
Application number
KR10-2003-7010564A
Other languages
English (en)
Other versions
KR100847368B1 (ko
Inventor
랜스포드제레미에스.
폴크로라
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20030076672A publication Critical patent/KR20030076672A/ko
Application granted granted Critical
Publication of KR100847368B1 publication Critical patent/KR100847368B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Abstract

식각 공정의 제어 방법은 적어도 제 1 층 및 상기 제 1 층 위에 형성된 제 2 층을 갖는 웨이퍼를 제공하는 단계를 포함한다. 상기 제 2 층의 두께가 측정된다. 상기 측정된 상기 제 2 층의 두께에 근거하여 식각 선택도 파라미터가 결정된다. 상기 식각 선택도 파라미터에 근거하여 식각툴(130)의 동작 레시피가 수정된다. 공정 라인(100)은 식각툴(130), 제 1 계측툴(120) 및 공정 제어기(150)를 포함한다. 상기 식각툴(130)은 동작 레시피에 근거하여 다수의 웨이퍼들(110) - 각 웨이퍼(110)는 적어도 제 1 층 및 상기 제 1 층 위에 형성된 제 2 층을 갖는다 - 을 식각한다. 상기 제 1 계측툴(120)은 상기 제 2 층의 식각전 두께를 측정한다. 상기 공정 제어기(150)는 상기 측정된 상기 제 2 층의 식각전 두께에 근거하여 식각 선택도 파라미터를 결정하여 상기 식각 선택도 파라미터에 근거하여 상기 식각툴(130)의 동작 레시피를 수정한다.

Description

식각 선택도를 제어하기 위한 방법 및 장치{METHOD AND APPARATUS FOR CONTROLLING ETCH SELECTIVITY}
트랜지스터와 같은 반도체 디바이스들의 크기 즉, 스케일(scale)을 감소시켜, 이러한 트랜지스터들을 집적한 디바이스의 전체 속도를 증가시키고자 하는 노력이 지속적으로 이루어지고 있다. 전형적으로, 마이크로프로세서와 같은 통상적인 집적 회로 디바이스는 반도체 기판의 표면 위에 형성되는 수백만개의 트랜지스터들로 구성된다.
많은 현대의 집적 회로 디바이스들은 매우 밀집하게 패키징된다. 즉, 상기 기판 위에 형성된 트랜지스터들 간에는 공간이 거의 없다. 반도체 디바이스들의 제조는 가공되지 않은 반도체 물질로부터 패키징된 반도체 디바이스를 생성하기 위해 다수의 개별 공정 단계들을 필요로 한다. 상기 다수의 공정들은 상기 반도체 물질의 초기 성장, 반도체 결정을 개별적인 웨이퍼들로 자르기, 제조 단계들(식각, 도핑, 이온 주입 등), 그리고 완성된 디바이스의 패키징 및 최종 테스트를 포함한다.
반도체 디바이스 제조시 중요한 양상들 중에는 급속 열 어닐링(RTA; rapidthermal annealing) 제어, 화학적 기계 연마(CMP) 제어, 식각 제어 및 오버레이 제어(overlay control)가 있다. 기술 발전은 반도체 디바이스들의 임계치수를 더욱 작게 할 수 있으며, 이에 따라 에러 감소의 요구가 크게 증가하고 있다. 반도체 디바이스 내의 적절한 서브-섹션들(sub-sections)의 형성은 제조된 반도체 디바이스의 정확한 성능에 중요하다. 상기 서브-섹션들의 임계치수들은 일반적으로 반도체 디바이스들이 허용가능한 제조 품질을 가지도록 소정의 허용 오차 한계 내에 있어야 한다.
일반적으로, 반도체 디바이스에서의 대부분의 배선폭들(features)은 물질(예를 들어, 전도성 또는 절연성) 층들을 증착하고, 포토리소그래피 및 식각 공정을 이용하여 상기 층들을 패터닝함으로써 형성된다. 상기 배선폭들을 형성하는데 이용되는 식각 공정들의 정확성 및 반복성에 영향을 미치는 다수의 변수들이 존재한다. 하나의 특정 식각 공정은 웨이퍼 상에 형성된 상부층의 일부분을 제거하는 플라즈마 식각을 포함한다. 비록 상기 플라즈마 식각이 주로 비등방성 식각이지만은, 상기 플라즈마 식각은 등방성 성분도 갖는다. 상기 식각중에, 상기 플라즈마에서의 반응물들(reactants)은 식각된 상기 배선폭들을 포함하는, 상기 플라즈마에 노출된 표면들 상에 증착하는 폴리머 부산물을 형성한다. 상기 식각된 배선폭의 측벽에 형성되는 폴리머는 상기 식각의 비등방성 성분에 의해 제거되지 않는다. 전형적으로, 상기 식각 공정에서는 할로겐화 탄소 기체(즉, 염소 또는 불소와 같은 할로겐 및 탄화수소 그룹을 함유함)가 이용된다. 상기 플라즈마에서, 탄화수소 그룹들의 이온들이 생성되어 상기 웨이퍼 표면쪽으로 가속됨으로써 비등방성 식각을 수행하게 된다. 또한, 상기 비등방성 식각 성분은 이온 플럭스(ion flux)에 수직인 표면들 상에 형성된 폴리머를 제거한다. 상기 플라즈마에서 역시 생성된 할로겐화 래디칼들(halogenated radicals)은 상기 폴리머가 "스퍼터링된(sputtered away)" 표면막을 제거하는 등방성 화학적 식각 효과를 갖는다. 상기 등방성 식각 성분은 또한 상기 측벽 표면들에 영향을 미치되, 보다 "평평한(flat)" 표면들에서보다는 낮은 정도로 영향을 미친다.
원하는 층이 제거된 후에, 상기 플라즈마 식각 공정은 전형적으로 그 하부층을 어느 정도로 식각한다. 예를 들어, 트랜지스터의 형성중에, 이산화규소 층 위에 폴리실리콘 층이 형성된다. 후속하여, 상기 폴리실리콘은 비등방성 플라즈마 식각을 이용하여 식각되어 트랜지스터 게이트 전극을 형성한다. 상기 폴리실리콘의 식각중에 상기 이산화규소가 또한 부분적으로 식각된다. 상기 하부층의 유사한 식각은 예를 들어, 이산화규소 위의 질화규소의 플라즈마 식각에서도 또한 분명히 나타난다. 상부 및 하부 층들의 유입 두께(incoming thickness), 그리고 상기 플라즈마 식각 공정에 대한 상기 상부 및 하부 층들의 선택도(즉, 상기 상부 및 하부 층들의 서로 다른 물질들에 대한 식각률이 서로 다름)에 있어서의 변형들은 하부층의 식각후 두께와 타겟 식각후 두께에 있어 편차를 야기한다. 이들 식각후 두께 편차는 또한 상기 디바이스의 특성 및 그의 성능에 있어 대응하는 변형들을 야기할 수도 있다. 식각후 두께 변형들의 최소화는 폴리실리콘 게이트 전극들 및 국부적인 상호접속 구조들과 같은 배선폭들의 형성에 특히 중요하다.
본 발명의 목적은 상기 설명된 하나 또는 그 이상의 문제점들의 영향을 없애거나, 적어도 줄이는 것이다.
본 발명은 일반적으로 반도체 디바이스 제조 분야에 관한 것으로, 특히 식각 선택도를 제어하기 위한 방법 및 장치에 관한 것이다.
본 발명은 첨부 도면들을 참조로 한 다음의 상세한 설명을 참조하여 이해할 수 있으며, 상기 첨부 도면들에서 동일한 참조 부호들은 동일한 요소들을 나타낸다.
도 1은 본 발명의 하나의 예시적인 실시예에 따른 공정 라인의 개략적인 블럭도이고;
도 2는 본 발명의 일 실시예에 따른 신경 회로망 모델링 시스템의 개략도이고; 그리고
도 3은 본 발명의 하나의 예시적인 실시예에 따른 게이트 전극 길이에 있어서의 변형을 감소시키는 방법의 개략적인 흐름도이다.
본 발명은 다양한 수정들과 변형들을 갖지만, 본원에서는 특정 실시예들을 예시적으로 도면들에 도시하여 상세하게 설명하였다. 그러나, 이러한 특정 실시예들은 본 발명을 개시된 특정 형태들로만 한정하지 않음을 이해해야 한다. 본 발명은 첨부된 청구항들에 의해 정의되는 본 발명의 정신과 범위내에 있는 모든 수정들, 등가물들 및 대안들을 포함한다.
본 발명의 일 양상은 식각 공정의 제어 방법에서 볼 수 있다. 상기 방법은 적어도 제 1 층 및 상기 제 1 층 위에 형성된 제 2 층을 갖는 웨이퍼를 제공하는 단계를 포함한다. 상기 제 2 층의 두께가 측정된다. 그 측정된 상기 제 2 층의 두께에 근거하여 식각 선택도 파라미터가 결정된다. 상기 식각 선택도 파라미터에 근거하여 식각툴의 동작 레시피(operating recipe)가 수정된다.
본 발명의 다른 양상은 식각툴, 제 1 계측툴 및 공정 제어기를 포함하는 공정 라인에서 볼 수 있다. 상기 식각툴은 동작 레시피에 근거하여 다수의 웨이퍼들을 식각하며, 각각의 웨이퍼는 적어도 제 1 층 및 상기 제 1 층 위에 형성된 제 2 층을 갖는다. 상기 제 1 계측툴은 상기 제 2 층의 식각전 두께를 측정한다. 상기 공정 제어기는 그 측정된 상기 제 2 층의 식각전 두께에 근거하여 식각 선택도 파라미터를 결정하여, 그 식각 선택도 파라미터에 근거하여 상기 식각툴의 동작 레시피를 수정한다.
본 발명의 예시적인 실시예들이 하기에 설명된다. 명확성을 위하여, 본원에서는 실제 구현시의 모든 특징들을 다 설명하지는 않는다. 물론, 어떠한 실제 실시예의 전개에 있어서, 실행마다 변하게 되는 시스템 관련 및 사업 관련 제약들과의 호환성과 같은 개발자의 특정한 목표들을 달성하기 위해서는 많은 실시별 특정한 결정들이 이루어져야 한다는 것을 알 수 있을 것이다. 또한, 이러한 개발 노력은 복잡하고 시간 소모적이지만, 그럼에도 불구하고 본원의 개시의 이익을 갖는 이 기술분야의 당업자들에게 있어서는 일상적인 일이라는 것을 알 수 있을 것이다.
이제 도 1을 참조하면, 본 발명에 따른 공정 웨이퍼들(110)에 대한 예시적인 공정 라인(100)의 일부분에 대한 개략도가 제공된다. 상기 공정 라인(100)은 식각전 계측툴(120), 식각툴(130), 식각후 계측툴(140) 및 공정 제어기(150)를 포함한다. 상기 공정 제어기(150)는 상기 계측툴들(120, 140)로부터 데이터를 수신하고, 상기 식각툴(130)의 동작 레시피를 조정하여 식각 선택도를 제어함으로써, 공정 웨이퍼들(110)의 식각후 특성에 있어서의 변형들을 감소시킨다.
상기 식각툴(130)의 기능들을 수행하기에 적절한 예시적인 툴로는 람 리서치(Lam Research)에 의해 제공되는 레인보우(Rainbow) 9400 플라즈마 식각툴이 있다. 상기 계측툴들(120, 140)은 서마웨이브 인코포레이션(Thermawave, Inc.)에 의해 제공되는 옵티프로브(Optiprobe) 두께 측정툴과 같은 두께 측정툴들이다. 비록, 별개의 계측툴들(120, 140)이 예시되어 있지만은, 상기 식각전 및 식각후 측정들을 위해 단일 툴이 이용될 수도 있다. 상기 계측툴들(120, 140)은 상기 식각툴(130)과 일체형으로 될 수도 있다. 상기 공정 제어기(150)는 상기 식각툴(130)의 식각 선택도 모델을 포함한다. 상기 모델은 식각되는 상부층 및 그 상부층 아래에 형성된 하부층의 실제적인 식각전 및 식각후 두께에 관한 상기 계측툴들(120, 140)로부터의 입력에 근거하여 생성되고/되거나 갱신될 수 있다. 상기 상부층 및 상기 하부층에 대한 물질의 가능한 결합들이 다수 존재한다. 예시적인 상부 및 하부층 물질쌍들로는 폴리실리콘과 이산화규소, 이산화규소와 질화규소, 질화규소와 이산화규소 등이 있다.
상기 예시된 실시예에 있어서, 상기 공정 제어기(150)는 상기 설명된 기능들을 구현하도록 소프트웨어로 프로그램된 컴퓨터이다. 그러나, 이 기술분야의 당업자들이면 알 수 있는 바와 같이, 상기 특정 기능들을 실행하도록 설계된 하드웨어 제어기가 또한 이용될 수 있다. 또한, 본원에 설명된 바와 같은 상기 공정제어기(150)에 의해 수행되는 기능들은 시스템 전체에 분포된 다중 제어기 디바이스들에 의해 수행될 수 있다. 또한, 상기 공정 제어기(150)는 독립형 제어기일 수 있거나, 상기 식각툴(130)에 존재할 수 있거나, 또는 집적 회로 제조 설비에서의 시스템 제어 동작들의 부분일 수도 있다. 본 발명 및 대응하는 상세한 설명의 부분들은 소프트웨어 즉, 알고리즘 및 컴퓨터 메모리 내의 데이터 비트에서 동작에 대한 기호 표시에 의해 제시된다. 이러한 설명들 및 표시들은 이 기술분야의 당업자들이 이 기술분야의 타당업자들에게 그들의 작업 내용을 효과적으로 전달하는데 이용할 수 있는 것이다. 알고리즘은, 본원에서 이용되는 바와 같이, 그리고 일반적으로 이용되는 바와 같이 원하는 결론에 이르는 일관성 있는 시퀀스 단계들인 것으로 인지된다. 상기 단계들은 물리량들에 대한 물리적 처리를 요하는 단계이다. 반드시 그러하지만은 않지만 보통 이 물리량은 저장, 전송, 결합, 비교 및 처리될 수 있는 광, 전기 또는 자기 신호들의 형태를 갖는다. 주로 공통 사용의 이유로, 이들 신호들을 비트, 값, 요소, 기호, 문자, 용어, 숫자 등으로 나타내는 것은 때때로 편리한 것으로 입증되었다.
그러나, 모든 이러한 그리고 유사한 용어들은 적절한 물리량과 관련되는 것이며, 이 물리량에 적용된 단지 편리한 라벨들일 뿐임을 명심해야 한다. 특정하게 다른 규정이 없는 한, 또는 논의로부터 명백한 바, "프로세싱" 또는 "컴퓨팅" 또는 "계산" 또는 "결정" 또는 "표시" 등과 같은 용어들은 상기 컴퓨터 시스템의 레지스터들 및 메모리들 내의 물리, 전기량으로 표시되는 데이터를, 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 이러한 정보 저장, 전송 또는 디스플레이 디바이스들내의 물리량으로 유사하게 표시되는 다른 데이터로 처리 및 변환하는 컴퓨터 시스템 또는 유사한 전기 컴퓨팅 디바이스의 동작 및 프로세스를 나타낸다.
설명된 바와 같은 상기 공정 제어기(150)의 기능을 수행할 수 있는 예시적인 소프트웨어 시스템으로는 KLA-텐코 인코포레이션(KLA-Tencor, Inc.)에 의해 제공되는 촉매 시스템(Catalyst system)이 있다. 상기 촉매 시스템은 반도체 장비 및 재료 인터내셔널(SEMI; Semiconductor Equipment and Materials International) 컴퓨터 집적 제조(CIM) 체계 호환 시스템 기술들을 이용하며, 진보된 공정 제어(APC) 체계에 근거한다. CIM(SEMI E81-0699 - CIM 체계 도메인 아키텍처에 대한 임시 사양) 및 APC(SEMI E93-0999 - CIM 체계 진보된 공정 제어 구성요소에 대한 임시 사양) 사양들은 SEMI로부터 공개적으로 이용가능하다.
상기 식각전 계측툴(120)은 상기 상부 및 하부 층들의 유입 두께를 측정하여 상기 식각전 두께 측정치를 상기 공정 제어기(150)에 제공한다. 상기 식각전 두께 측정치에 근거하여, 상기 공정 제어기(150)는 상기 식각툴(130)의 식각 선택도를 제어하는 동작 레시피 파라미터들을 생성한다. 상기 식각 선택도의 제어는 상기 상부 및 하부 층들의 물질들에 대한 상기 식각툴(130)의 식각률을 제어함으로써 그들의 식각후 두께에 영향을 미친다. 상기 식각후 계측툴(140)에 의해 제공되는 식각후 두께 측정치는 상기 식각툴(130)의 동작 레시피를 결정하기 위해 상기 공정 제어기(150)에 의해 이용되는 식각 선택도 모델을 갱신하는데 이용될 수 있다.
식각 선택도에 영향을 미치는 예시적인 인자들로는 온도, 압력 및 반응성 기체 합성물이 있다. 일반적으로, 온도가 상승함에 따라, 상기 플라즈마 반응물에 의해 폴리머 부산물이 적게 형성된다. 이러한 부산물 형성 감소는 전형적으로 상기 플라즈마의 등방성 식각률을 증가시킨다. 압력이 감소함에 따라, 이온 에너지가 증가함으로써, 상기 식각에 수직인 표면들 상에 형성된 폴리머가 더 빨리 제거되게 된다. 결과로서, 비등방성 식각률이 증가된다.
전형적인 플라즈마 반응성 기체 혼합물은 C2F8, C4F8, CHF3, CF4, CCI4등과 같은 하나 또는 그 이상의 할로겐화 탄소 기체를 포함한다. 다수의 다른 할로겐화 탄소 기체들이 일반적으로 이용된다. 상기 식각 선택도는 상기 플라즈마에서 할로겐화 탄소 기체의 농도비를 변경함으로써 제어될 수 있다. 예를 들어, CHF3및 CF4를 포함하는 플라즈마를 고려해본다. 예시적인 어플리케이션에서는, TEOS 증착 공정에 의해 이산화규소가 형성되고, 그 다음에 스핀-온-글래스(SOG; spin-on-glass) 증착 및 큐어(cure) 공정이 실시된다. 형성된 절연체는 평탄화 식각을 받고, 상기 TEOS 및 SOG 둘다가 상기 식각 플라즈마에 노출된다. 이들 막의 비교적인 식각률은 결과로서 생긴 구조의 평탄화 정도를 결정한다. CHF3와 CF4의 전체 흐름율을 동일하게 유지하고 상기 2가지 기체의 비율을 다양하게 함으로써, 상기 선택도는 최적화될 수 있다. CHF3흐름율에 대한 CF4흐름율의 비를 증가시킴으로써 SOG에 대한 TEOS의 식각률이 증가된다. 이와 마찬가지로, 상기 비율을 감소시킴으로써 상대적인 SOG 식각률이 증가된다. CHF3의 농도를 더 높인 식각 공정에서, 폴리머 형성율은 증가된다.
상기 식각툴(130)의 레시피를 변경함에 있어, 상기 공정 제어기(150)는 기준선 레시피(baseline recipe) 내의 파라미터 또는 파라미터들을 변경할 수 있거나, 또는, 대안적으로 상기 공정 제어기(150)는 완전히 새로운 레시피를 제공할 수 있다. 상기 공정 제어기(150)는 웨이퍼별 방식으로, 로트별 방식으로, 혹은 로트들의 각 그룹을 동시에 처리하는 식으로 하여 레시피를 갱신할 수 있다.
상기 공정 제어기(150)는 피드백 동작 모드 또는 피드포워드 동작 모드로 상기 식각툴(130)의 레시피를 변경할 수 있다. 피드백 모드에서, 상기 계측툴들(120, 140)로부터의 두께 측정치들은 후속하여 처리되는 웨이퍼들에 대한 새로운 동작 레시피를 결정하기 위해 타겟 식각후 두께와 관련하여 이용될 수 있다. 피드포워드 모드에서, 상기 공정 제어기(150)는 상기 식각전 계측툴(120)로부터 유입 두께 측정치들을 수신하여 상기 식각 선택도를 제어하는 동작 레시피 파라미터들을 예측한다. 후속하는 식각후 측정치들은 후속하는 웨이퍼들에 대한 예측 모델을 갱신하는데 이용될 수 있다.
상기 공정 제어기(150)에 의해 식각 선택도 모델이 생성될 수 있거나, 또는 대안적으로 서로 다른 공정 리소스(도시되지 않음)에 의해 생성되어 현상된 후에 상기 공정 제어기(150)에 저장될 수도 있다. 상기 식각 선택도 모델은 상기 식각툴(130)을 이용하거나 유사한 동작 특성들을 갖는 서로 다른 툴(도시되지 않음)을 이용하여 현상될 수 있다. 예시의 목적을 위해, 상기 식각 선택도 모델이 상기 공정 제어기(150) 또는 상기 계측툴들(120, 140)에 의해 측정되는 바와 같은 상기 식각툴(130)의 실제 성능에 근거한 다른 공정 리소스에 의해 생성되어 갱신된다고 가정해 본다. 상기 식각 선택도 모델은 상기 식각툴(130)의 다수의 공정 런들로부터 수집된 히스토리컬(historical) 데이터에 근거하여 트레이닝(train)된다. 상기 식각 선택도 모델은 비교적 단순한 등식 기반 모델(예를 들어, 선형적, 기하급수적, 가중 평균 등) 또는 신경 회로망 모델, 주요 성분 분석(PCA; principal component analysis) 모델 또는 잠재적 구조에 대한 투영(PLS; projection to latent structures) 모델과 같은 더 복잡한 모델이 될 수도 있다. 상기 모델의 특정 실시예는 선택된 모델링 기술에 따라 달라질 수 있으며, 이러한 특정 실시예는 이 기술분야의 당업자들에게 잘 알려져 있다.
상기 식각툴(130)의 식각 선택도 모델이 어떻게 생성되는지에 대한 고레벨의 예시로서 다음의 실시예가 제공된다. 상기 식각 선택도 모델의 특정 실시예는 선택된 모델링 기술에 따라서 달라질 수 있으며, 이러한 특정 실시예는 이 기술분야의 당업자들에게 잘 알려져 있다. 따라서, 예시의 명확성 및 편이성을 위하여, 본원에서는 이러한 특정 상세 설명들을 더 상세하게 설명하지 않는다.
간단하게 도 2를 보면, 신경 회로망(200)의 개략도가 제공된다. 상기 신경 회로망(200)은 입력층(210), 히든층(hidden layer)(220) 및 출력층(230)을 포함한다. 상기 입력층(210)은 상기 식각툴(130)의 식각 선택도를 모델링하는데 적절하다고 생각되는 입력값을 수신한다. 상기 예시된 실시예에 있어서, 비록 다른 입력들이 이용될 수도 있지만은, 상기 계측툴들(120, 140)에 의해 측정되는 바와 같은 상기 유입 상부 및 하부 층 두께 측정치들이 입력값들로서 수신된다. 상기 히든층(220)은 상기 신경 회로망(200)이 상기 식각툴(130) 또는 유사한 식각툴(도시되지 않음)의 히스토리컬 성능 데이터에 노출되는 트레이닝 과정(training procedure)중에 상기 식각툴(130)의 동작 레시피 파라미터들이 상기 하부층의 식각후 두께를 결정할 때 나타나는 효과들을 "학습(learn)"한다. 상기 히든층(220)은 상기 입력들 각각 및/또는 상기 입력들의 결합들에 가중치를 주어 미래의 성능을 예측한다. 히스토리컬 데이터의 분석을 통해 상기 가중치들이 변경됨으로써, 상기 모델이 미래의 성능을 성공적으로 예측할 확률을 증가시킨다. 상기 출력층(230)은 상기 히든층(220)의 처리를 추출하여, 예를 들어, 상기 식각을 수행하는데 필요한 온도, 압력 및/또는 반응성 기체 합성물의 예측치를 발생시켜 상기 하부층에 대한 타겟 식각후 두께에 도달한다.
일단 상기 모델이 충분히 트레이닝되면, 상기 모델은 생산 환경에서, 현재의 입력값 측정치들에 근거하여 식각툴(130)의 동작을 예측하는데 이용될 수 있다. 상기 신경 회로망(200)에 의해 예측된 결과에 근거하여, 증착 제어 파라미터들이 예측되고, 그에 따라 상기 식각툴(130)의 동작 레시피가 수정된다. 상기 생산 환경에서, 상기 식각후 계측툴(140)로부터의 주기적인 측정치들은 상기 공정 제어기(150)에 피드백으로서 제공되어 상기 식각 선택도 모델을 갱신한다.
이제 도 3을 참조하면, 식각툴(130)에서의 식각 선택도 제어 방법의 흐름도가 제공된다. 블럭(300)에서, 제 1 및 제 2 층들을 갖는 웨이퍼가 제공된다. 상기 제 2 층은 상기 제 1 층 위에 형성된다. 블럭(310)에서, 상기 웨이퍼는 상기 제 2 층의 적어도 일부분을 노출하도록 패터닝된다. 블럭(320)에서, 상기 제 2 층의 두께가 측정된다. 일 실시예에 있어서, 상기 제 1 층의 두께가 또한 측정될 수 있다.블럭(330)에서, 상기 제 2 층의 두께에 근거하여(그리고 제 1 층의 두께가 측정되는 경우에는 상기 제 1 층의 두께에 근거하여) 식각 선택도 파라미터들이 결정된다. 상기 식각 선택도 파라미터들의 결정은 피드포워드 예측 모델링 기술 또는, 대안적으로 피드백 기술을 이용하여 달성될 수 있다. 블럭(340)에서, 상기 식각 선택도 파라미터들에 근거하여 적어도 상기 제 1 층이 식각된다. 상기 피드포워드 모드에서는, 현재의 웨이퍼에 대해 상기 식각이 수행된다. 상기 피드백 모드에서는, 후속 웨이퍼들에 대해 상기 식각이 수행된다.
상기에 설명된 바와 같은 식각 선택도의 제어는 상기 하부층에서의 상기 식각후 두께 변형을 줄이고, 그 결과 더 안정된 반복가능한 공정을 할 수 있게 한다. 상기 변형 감소에 영향을 미치기 위해 실시간 제어 모델들을 이용함으로써, 상기 공정 라인(100)의 생산량 및 상기 최종 생성물의 품질이 증가될 수 있다. 증가된 생산량 및 감소된 변형은 바로 수익성을 증가시킨다.
상기 개시된 특정 실시예들은 단지 예시적인 것으로서, 본 발명은 본원의 가르침의 이득을 갖는 이 기술분야의 당업자들에게 명백한, 서로 다르지만 동일한 방식으로 수정되어 실행될 수 있다. 또한, 본 발명은 본원에 개시된 구조 또는 설계의 세부적인 사항들에 한정되지 않으며, 하기의 청구항에 의해서만 정의된다. 따라서, 상기 개시된 특정 실시예들은 본 발명의 정신 및 범위 내에서 변형 또는 수정될 수 있다. 그러므로, 본원에서 보호받고자 하는 권리는 하기의 청구항들에서 정의된다.

Claims (10)

  1. 식각 공정의 제어 방법으로서,
    적어도 제 1 층 및 상기 제 1 층 위에 형성된 제 2 층을 갖는 웨이퍼를 제공하는 단계와;
    상기 제 2 층의 두께를 측정하는 단계와;
    그 측정된 상기 제 2 층의 두께에 근거하여 식각 선택도 파라미터를 결정하는 단계와; 그리고
    상기 식각 선택도 파라미터에 근거하여 식각툴(130)의 동작 레시피를 수정하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 층의 두께를 측정하는 단계를 더 포함하며, 여기서, 상기 식각 선택도 파라미터의 결정은 그 측정된 상기 제 1 층의 두께에 근거하여 상기 식각 선택도 파라미터를 결정하는 것을 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 동작 레시피에 근거하여 적어도 상기 제 2 층을 식각하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서,
    상기 식각 선택도 파라미터의 결정은 온도, 압력 및 2가지 반응성 기체들의 농도비 중 적어도 하나를 결정하는 것을 포함하는 것을 특징으로 하는 방법.
  5. 제 3 항에 있어서,
    상기 동작 레시피에 근거하여 상기 제 1 층의 적어도 일부분을 식각하는 단계와;
    상기 제 1 층의 잔여부의 두께를 측정하는 단계와;
    그 측정된 상기 잔여부의 두께와 타겟 두께를 비교하는 단계와; 그리고
    상기 측정된 상기 잔여부의 두께와 상기 타겟 두께 간의 차에 근거하여 상기 식각툴(130)의 동작 레시피를 수정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 동작 레시피에 근거하여 다수의 웨이퍼들(110) - 각 웨이퍼(110)는 적어도 제 1 층 및 상기 제 1 층 위에 형성된 제 2 층을 갖는다 - 을 식각하는 식각툴(130)과;
    상기 제 2 층의 식각전 두께를 측정하는 제 1 계측툴(120)과; 그리고
    상기 측정된 상기 제 2 층의 식각전 두께에 근거하여 식각 선택도 파라미터를 결정하여, 상기 식각 선택도 파라미터에 근거하여 상기 식각툴(130)의 동작 레시피를 수정하는 공정 제어기(150)를 포함하는 것을 특징으로 하는 공정라인(100).
  7. 제 6 항에 있어서,
    상기 제 1 계측툴(120)은 상기 제 1 층의 식각전 두께를 더 측정하며, 상기 공정 제어기(150)는 상기 측정된 상기 제 1 층의 식각전 두께에 근거하여 상기 식각 선택도 파라미터를 결정하는 것을 특징으로 하는 공정 라인(100).
  8. 제 6 항에 있어서,
    상기 식각툴(130)은 상기 동작 레시피에 근거하여 적어도 상기 제 2 층을 식각하는 것을 특징으로 하는 공정 라인(100).
  9. 제 6 항에 있어서,
    상기 식각 선택도 파라미터는 온도, 압력 및 2가지 반응성 기체의 농도비 중 적어도 하나를 포함하는 것을 특징으로 하는 공정 라인(100).
  10. 제 8 항에 있어서,
    상기 식각툴(130)은 상기 동작 레시피에 근거하여 상기 제 1 층의 적어도 일부분을 식각하고, 상기 공정 라인(100)은 상기 제 1 층의 잔여부의 두께를 측정하는 제 2 계측툴(140)을 더 포함하고, 그리고 상기 공정 제어기(150)는 상기 측정된 상기 잔여부의 두께와 타겟 두께를 비교하여 상기 측정된 상기 잔여부의 두께와 상기 타겟 두께 간의 차에 근거하여 상기 식각툴(130)의 동작 레시피를 수정하는 것을 특징으로 하는 공정 라인(100).
KR1020037010564A 2001-02-14 2002-01-25 식각 선택도를 제어하기 위한 방법 및 장치 KR100847368B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US78342301A 2001-02-14 2001-02-14
US09/783,423 2001-02-14
PCT/US2002/002235 WO2002065511A2 (en) 2001-02-14 2002-01-25 Method and apparatus for controlling etch selectivity

Publications (2)

Publication Number Publication Date
KR20030076672A true KR20030076672A (ko) 2003-09-26
KR100847368B1 KR100847368B1 (ko) 2008-07-21

Family

ID=25129200

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037010564A KR100847368B1 (ko) 2001-02-14 2002-01-25 식각 선택도를 제어하기 위한 방법 및 장치

Country Status (9)

Country Link
US (1) US7456110B2 (ko)
JP (1) JP4191484B2 (ko)
KR (1) KR100847368B1 (ko)
CN (1) CN1258811C (ko)
AU (1) AU2002240097A1 (ko)
DE (1) DE10296328B4 (ko)
GB (1) GB2393852B (ko)
TW (1) TWI247332B (ko)
WO (1) WO2002065511A2 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100638948B1 (ko) * 2002-08-28 2006-10-25 도쿄 엘렉트론 리미티드 반도체 에칭 공정의 동적 모델링 및 레서피 최적화 방법 및시스템
CN101256945B (zh) * 2003-06-20 2011-08-03 东京毅力科创株式会社 处理方法和处理系统
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US7479454B2 (en) * 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8014991B2 (en) 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US8227339B2 (en) 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
DE102010040869A1 (de) * 2010-09-16 2012-03-22 Robert Bosch Gmbh Vorrichtung zum Ätzen von Halbleiter-Wafern mit einer Inline-Prozesskontrolle
CN102479670B (zh) * 2010-11-30 2015-11-25 中芯国际集成电路制造(北京)有限公司 一种半导体装置及使用方法
US8429569B2 (en) * 2011-04-14 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for feed-forward advanced process control
US20130203188A1 (en) * 2012-02-03 2013-08-08 Globalfoundries Inc. Hybrid metrology for semiconductor devices
KR101644732B1 (ko) * 2012-04-11 2016-08-01 도쿄엘렉트론가부시키가이샤 Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막
US10840102B2 (en) * 2013-11-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated system, integrated system operation method and film treatment method
US9378975B2 (en) * 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
WO2016061475A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US11209804B2 (en) * 2014-11-11 2021-12-28 Applied Materials, Inc. Intelligent processing tools
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10763142B2 (en) * 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
CN106444365B (zh) * 2015-08-12 2020-03-10 中芯国际集成电路制造(上海)有限公司 晶圆刻蚀的控制方法及晶圆制造方法
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
JP6739376B2 (ja) * 2017-03-06 2020-08-12 東京エレクトロン株式会社 基板処理システム、制御装置及び基板処理方法
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
NL2021938B1 (en) * 2018-11-05 2020-05-15 Suss Microtec Lithography Gmbh Method for measuring a thickness of a layer, method for controlling a substrate processing device as well as substrate processing device
JP7348640B2 (ja) * 2019-11-29 2023-09-21 スピードファム株式会社 エッチング装置、およびエッチング方法
CN111128781A (zh) * 2019-12-27 2020-05-08 Tcl华星光电技术有限公司 测量金属完全蚀刻时间的方法及系统、存储介质
US20220165541A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Etch feedback for control of upstream process

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4687543A (en) * 1986-02-21 1987-08-18 Tegal Corporation Selective plasma etching during formation of integrated circuitry
US5425839A (en) * 1992-05-14 1995-06-20 Texas Instruments Incorporated Method for rapidly etching material on a semiconductor device
US5372673A (en) * 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5526293A (en) * 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5741396A (en) * 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
US5795493A (en) * 1995-05-01 1998-08-18 Motorola, Inc. Laser assisted plasma chemical etching method
JPH10209117A (ja) 1997-01-24 1998-08-07 Matsushita Electric Ind Co Ltd ドライエッチングによる平坦化方法
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6148239A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6136712A (en) * 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
KR20000025581A (ko) * 1998-10-13 2000-05-06 윤종용 유니폴라형 척을 갖춘 건식 식각장치의 냉각 시스템 및 그 제어방법
TW405204B (en) 1998-12-22 2000-09-11 United Microelectronics Corp Method to control the etching process
JP2003502771A (ja) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
US6133132A (en) * 2000-01-20 2000-10-17 Advanced Micro Devices, Inc. Method for controlling transistor spacer width
US6333271B1 (en) * 2001-03-29 2001-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma etch method for plasma etch processing a microelectronic layer
US6500681B1 (en) * 2002-01-11 2002-12-31 Advanced Micro Devices, Inc. Run-to-run etch control by feeding forward measured metal thickness

Also Published As

Publication number Publication date
CN1491434A (zh) 2004-04-21
DE10296328T5 (de) 2004-04-15
JP4191484B2 (ja) 2008-12-03
GB2393852A (en) 2004-04-07
AU2002240097A1 (en) 2002-08-28
KR100847368B1 (ko) 2008-07-21
GB0319682D0 (en) 2003-09-24
JP2004524685A (ja) 2004-08-12
CN1258811C (zh) 2006-06-07
GB2393852B (en) 2005-04-13
WO2002065511A3 (en) 2003-03-20
WO2002065511A2 (en) 2002-08-22
DE10296328B4 (de) 2010-04-08
US20050098535A1 (en) 2005-05-12
US7456110B2 (en) 2008-11-25
TWI247332B (en) 2006-01-11

Similar Documents

Publication Publication Date Title
KR100847368B1 (ko) 식각 선택도를 제어하기 위한 방법 및 장치
US7894927B2 (en) Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
US7967995B2 (en) Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8501499B2 (en) Adaptive recipe selector
US7067333B1 (en) Method and apparatus for implementing competing control models
US8019458B2 (en) Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US6859746B1 (en) Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
KR20050074561A (ko) 전기적 가공 제어를 위한 확률 구속 최적화
KR100970492B1 (ko) 처리층 컨포멀리티의 결정을 위한 방법 및 장치
KR20050055729A (ko) 측정된 전기적 특성에 기초한 제조 공정 제어 방법 및 장치
TWI446402B (zh) 基於資訊可信度之增進的狀態估計
US7402257B1 (en) Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US8183062B2 (en) Creating metal gate structures using Lithography-Etch-Lithography-Etch (LELE) processing sequences
KR100836945B1 (ko) 게이트 전극 폭의 변동을 감소시키는 방법
US6895295B1 (en) Method and apparatus for controlling a multi-chamber processing tool
US20090299512A1 (en) Semiconductor manufacturing system and method
US11869756B2 (en) Virtual metrology enhanced plasma process optimization method
US7473566B1 (en) Method and apparatus for controlling a film formation process with multiple objectives
Rietman Neural Networks in CMOS Manufacturing: Some Examples

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130620

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140701

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160616

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee