TW405204B - Method to control the etching process - Google Patents

Method to control the etching process Download PDF

Info

Publication number
TW405204B
TW405204B TW87121384A TW87121384A TW405204B TW 405204 B TW405204 B TW 405204B TW 87121384 A TW87121384 A TW 87121384A TW 87121384 A TW87121384 A TW 87121384A TW 405204 B TW405204 B TW 405204B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
etching
etch
semiconductor wafer
thickness
Prior art date
Application number
TW87121384A
Other languages
Chinese (zh)
Inventor
Chien-Hung Chen
Jiun-Yuan Wu
Water Lur
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW87121384A priority Critical patent/TW405204B/en
Application granted granted Critical
Publication of TW405204B publication Critical patent/TW405204B/en

Links

Abstract

The present invention provides a method to control the etching process for striping the dielectric layer on a semiconductor substrate, which comprises the steps as follows: (1) proceed the chemical mechanical polishing on a semiconductor wafer surface to strip a predetermined thickness of the first dielectric layer; (2) measure the residual thickness of said dielectric layer; (3) provide a etching checklist for a plural set of the residual thickness containing all ranges for the dielectric layer and the etching back process or parameters related to the thickness range; and (4) proceed flatly the etching back process according to said checklist to strip the altitude from the first to the second dielectric layer.

Description

—405204 五、發明說明(1) " 本發明係提供一種蝕刻流程控制方法,尤指一種去除 半導體晶片表面之介電層的蝕刻流程控制方法。 化學機械研磨(chemical-mechanical polishing, 簡稱CMP )是半導體姓刻製程中一項非常普遍的技術,用 來將半導體晶片表面一些不必要的物質去除,並且使半導 體晶片局部(local )或整體(global )表面平坦化 (planarization) » CMP的研磨速率非常不容易控制,研磨 速率常隨著研磨介質(polishing medium)的使用而改變, 因此每次研磨的結果都會有少許差別,而造成半導體晶片 過度姓刻或蝕刻不足。在淺溝隔離製程(shal low trench isolation)中’為了防止半導體晶片過度蝕刻所造成的微 刮痕(micro-scratch),便僅以CMP去除一部份物質,殘餘 的物質再以蝕刻速率較穩定的方法去除。 請參考圖一至圖三。圖一至圊三為半導體製程中淺溝 隔離製程之實施步驟示意圊。圖一所示之半導體晶片1〇已 完成CMP的先前製程,半導體晶片1 〇設有淺溝18並且已填 入介電材料’半導體晶片10包含有一矽基材12,一由氧石夕 物(SiOx)所構成之墊層(pad layer)14生成於矽基材12之 上,以及一由氮矽物(SiNx)所構成之第二介電層16沈積於 墊層14之上。半導體晶片10另包含有複數個淺溝18用來隔 絕半導體晶片1 0上相鄰之元件,以及一由氧石夕物所構成之 第一介電層20覆蓋.於第二介電層16之上,用來填滿淺溝—405204 V. Description of the invention (1) " The present invention provides an etching process control method, in particular, an etching process control method for removing a dielectric layer on the surface of a semiconductor wafer. Chemical-mechanical polishing (CMP for short) is a very common technique in the semiconductor surname engraving process. It is used to remove unnecessary substances on the surface of semiconductor wafers, and to make the semiconductor wafers local (local) or global (global). ) Surface planarization »The polishing rate of CMP is very difficult to control. The polishing rate often changes with the use of a polishing medium. Therefore, the results of each polishing will be slightly different, resulting in excessive semiconductor wafer names. Undercutting or etching is insufficient. In the shallow trench isolation process, in order to prevent micro-scratch caused by excessive etching of semiconductor wafers, only a part of the material is removed by CMP, and the remaining material is more stable at the etching rate. Method to remove. Please refer to Figure 1 to Figure 3. Figures 1-3 show the implementation steps of the shallow trench isolation process in the semiconductor process. The semiconductor wafer 10 shown in FIG. 1 has completed the previous process of CMP. The semiconductor wafer 10 is provided with shallow trenches 18 and has been filled with a dielectric material. The semiconductor wafer 10 includes a silicon substrate 12 and a silicon oxide substrate ( A pad layer 14 made of SiOx) is formed on the silicon substrate 12, and a second dielectric layer 16 made of silicon silicon nitride (SiNx) is deposited on the pad layer 14. The semiconductor wafer 10 further includes a plurality of shallow trenches 18 for isolating adjacent components on the semiconductor wafer 10, and a first dielectric layer 20 composed of an oxite material is covered. To fill shallow trenches

C:\CARRIE\Desktop\二維碼\NAU\NAU-10.PTD第 4 頁 405204C: \ CARRIE \ Desktop \ Two-dimensional code \ NAU \ NAU-10.PTD Page 4 405204

五'發明說明(2) 18。 隨後對半導幾晶片10表面之第一介電層2〇進行CMp的 平坦化製程’以去除第一介電層20之一預定厚度,並 導體晶片10表面約略形成一平整的平面,如圖二所示。Z 後量測第一介電層20之殘餘厚度’如果殘餘厚度在一預^ 的範圍β 著利用一肖定較應性離子餘刻&或磁場择 強式反應性離子蝕刻法等乾蝕刻製程,對半導想晶片1〇 ^ 面上殘留的第一介電層20進行回蝕刻,以水平地=除第一 介電層20至第二介電層16之表面高度。最後如圊三所 半導體晶片1〇形成一平整之表面,其僅包含有第二介電層 16以及數個内含第一介電層2〇之淺溝18。 請 示意圊 厚度的 定,因 期範圍 無法進 後呼叫 體晶片 化,一 的工作 參考圖四。 。為了準確 預期範圍設 此研磨後第 。當量測之 行,此時生 製程工程師 進行特別處 方面會使製 量。 圖四為習知姓 地控制回蝕刻 疋仔很小。由 一介電層20之 殘餘厚度不符 產線上的操作 來針對殘餘厚 理。習知姓刻 程暫停,另一 刻流程控制方法22之流程 製程’第一介電層之殘餘 於CMP的研磨速率不穩 殘餘厚度便很容易超出預 合預期範圍時,回蝕刻便 人員必須使製程暫停,然 度不符合預期範圍的半導 流程控制方法2 2非常僵 方面也會增加製程工程師Five 'invention description (2) 18. Subsequently, the first dielectric layer 20 on the surface of the semiconductor chip 10 is subjected to a CMP planarization process to remove one of the predetermined thicknesses of the first dielectric layer 20, and the surface of the conductor wafer 10 is approximately formed into a flat plane, as shown in the figure. Shown in two. After Z, the residual thickness of the first dielectric layer 20 is measured. 'If the residual thickness is in a pre-defined range β, dry etching such as a relatively reactive ion ionization & magnetic field selective reactive ion etching method is used. During the manufacturing process, the first dielectric layer 20 remaining on the semiconductor substrate 10 etched back is etched back horizontally to remove the surface height of the first dielectric layer 20 to the second dielectric layer 16. Finally, the semiconductor wafer 10 of No. 3 Institute forms a flat surface, which includes only the second dielectric layer 16 and several shallow trenches 18 containing the first dielectric layer 20. Please indicate the thickness of 圊, because the range can not be called after the body is turned into wafers, please refer to Figure 4 for the work. . In order to accurately predict the range, set this number after grinding. When the measurement is performed, the production engineer performs special measures to make the measurement. Figure 4 shows the control of etch back by the known surname. Taipa is very small. The residual thickness of a dielectric layer 20 does not match the operation on the production line to address the residual thickness. Knowing that the engraving process is suspended, another process is the process control method 22, the process of the first dielectric layer, the polishing rate of the CMP residue is unstable, and the residual thickness can easily exceed the pre-expected range. When etching back, the personnel must make the process. Pause, semiconducting process control method that does not meet the expected range 2 2 Very rigid aspects will also increase process engineers

405204 五、發明說明(3) _~ --------一. 面之:ΪίΪ:主要目的在於提供一種去除半導體晶片表 卜 的蝕刻流程控制方法,使蝕刻流程順利地進 圖示之簡單說明 圖一至圖三為習知淺溝隔離製程之實施步驟示意圖。 圖四為習知蚀刻流程控制方法之流程示意圖。 圖五至圖七為運用本發明蝕刻流程控制方法之實施步驟示 意圖。 ’ 圖八為本發明蝕刻流程控制方法之流程示意圓。 圖九為積體電路之多重金屬内連線結構的切面示意圖。 圖示之符號說明 12 、5 2 矽基材 14 墊層 16 第二介電層 18 淺溝 20 第一介電層 30 、50 半導體晶片 40 乾蝕刻系統 42 蝕刻對照表 48 乾蝕刻機台 58 内金屬介電層 請參考圖五至圖七’圖五至圖七為運用本發明蝕刻流 程控制方法之淺溝隔離製程的示意圖。運用本發明方法的 淺溝隔離製程,首先製備一半導體晶片30,如圖五所示, 半導體晶片30包含有一矽基材12,一由氧矽物(Si 〇χ)所構405204 V. Description of the invention (3) _ ~ -------- I. Summary: 面 ίΪ: The main purpose is to provide an etching process control method that removes the semiconductor wafer surface, so that the etching process can be smoothly shown in the figure. Brief description Figures 1 to 3 are schematic diagrams of the implementation steps of the conventional shallow trench isolation process. FIG. 4 is a schematic flowchart of a conventional etching process control method. 5 to 7 are schematic diagrams showing implementation steps of the method for controlling an etching process according to the present invention. FIG. 8 is a schematic flow chart of the etching process control method of the present invention. FIG. 9 is a schematic cross-sectional view of a multi-metal interconnect structure of an integrated circuit. Symbols shown in the figure 12, 5 2 Silicon substrate 14 Underlayer 16 Second dielectric layer 18 Shallow trench 20 First dielectric layer 30, 50 Semiconductor wafer 40 Dry etching system 42 Etching table 48 Dry etching machine 58 Please refer to FIG. 5 to FIG. 7 for a metal dielectric layer. FIG. 5 to FIG. 7 are schematic diagrams of a shallow trench isolation process using the etching process control method of the present invention. In the shallow trench isolation process using the method of the present invention, a semiconductor wafer 30 is first prepared. As shown in FIG. 5, the semiconductor wafer 30 includes a silicon substrate 12 and a silicon oxide (Si χ) structure.

C:\CARRIE\Desktop\二維碼\NAU\NAU-10-PTD第 6 頁 405204C: \ CARRIE \ Desktop \ Two-dimensional code \ NAU \ NAU-10-PTD Page 6 405204

成之墊層(pad layer)14生成於矽基材12之上,一由氮石夕 物(SiNx)所構成之第二介電層16沈積於墊層14之上,而矽 基材12、墊層14、以及第二介電層η上包含有複數個淺溝 18,用來隔絕半導體晶片30上相鄰之元件。半導體晶片3〇 另包含有一由氧矽物(SiOx)所構成之第一介電層2〇覆蓋於 第二介電層16之上,用來填滿淺溝18。墊層14可用來防止 第二介電層16因加熱而產生之表面應力過大而造成半導體 晶片30之損傷。 隨後對第一介電層20進行CMP以去除第一介電層之一 預疋厚度,並使半導艘晶片3〇表面約略形成一平整的平 面’然後測量第一介電層之殘餘厚度,如圖六所示之了即 為殘餘厚度。接著提供一蝕刻對照表,其包含有複數組第 一介電層20之殘餘厚度範圍以及與各個厚度範圍相對應之 回敍刻程序或參數,並依據第—介電層之殘餘厚度以及敍 刻對照表中與殘餘厚度相對應之回蝕刻程序來進行一回蝕 刻製程以水平地去除第一介電層2〇至第二介電層16之表面 高度,回蝕刻程序通常為一乾蝕刻製程,用電衆反應去除 士導體晶片3 0之表面物質。如圖六所示,經過回蝕刻後, :導體晶片30之表面包含有第二介電層“以及複數個内含 一介電層20之淺溝18,並且半導體晶片3〇形成平整表 面〇 半導體晶片30的製程步驟是由一製程流程控制系統來A completed pad layer 14 is formed on the silicon substrate 12, a second dielectric layer 16 composed of a silicon nitride (SiNx) is deposited on the pad layer 14, and the silicon substrate 12, The pad layer 14 and the second dielectric layer n include a plurality of shallow trenches 18 for isolating adjacent components on the semiconductor wafer 30. The semiconductor wafer 30 further includes a first dielectric layer 20 made of silicon oxide (SiOx) overlying the second dielectric layer 16 to fill the shallow trenches 18. The underlayer 14 can be used to prevent damage to the semiconductor wafer 30 caused by excessive surface stress of the second dielectric layer 16 due to heating. The first dielectric layer 20 is then subjected to CMP to remove a pre-thickness of one of the first dielectric layers, and the surface of the semiconductor wafer 30 is approximately formed into a flat plane, and then the remaining thickness of the first dielectric layer is measured. As shown in Figure 6, it is the residual thickness. Next, an etching comparison table is provided, which includes the residual thickness range of the first dielectric layer 20 of the complex array, and a retelling process or parameter corresponding to each thickness range, and is based on the residual thickness and engraving of the first dielectric layer. The etch back process corresponding to the residual thickness in the table is used to perform an etch back process to horizontally remove the surface height of the first dielectric layer 20 to the second dielectric layer 16. The etch back process is usually a dry etching process. The electrical reaction removes the surface material of the conductor wafer 30. As shown in FIG. 6, after the etch-back, the surface of the conductor wafer 30 includes a second dielectric layer and a plurality of shallow trenches 18 containing a dielectric layer 20, and the semiconductor wafer 30 forms a flat surface. The process steps of the wafer 30 are performed by a process control system.

405204 五'發明說明(5) 控制’而蝕刻對照表是存放於製程流程控制系統中,製程 、流程控制系統便依據第一介電層之殘餘厚度以及蝕刻對照 表來決定相對應之回蝕刻程序以進行回蝕刻製程。 請參考圖八。囷八為本發明蝕刻流程控制方法24之流 程示意圖。當在半導體晶片30先完成CMP前的先前製程, 經過CMP後’接著量測第一介電層2 〇之殘餘厚度,製程流 程控制系統便依據第一介電層20之殘餘厚度以及蝕刻對照 表來決定相對應之回蝕刻程序來自動地進行回蝕刻製程”,’、 然後進行後續製程。相較於習知蝕刻流程控制方法22僅能 進行一種回蝕刻程序,而第一介電層之殘餘厚度的預期範 圍設定得很小,使製程流程經常因殘餘厚度不符合而暫 停’本發明蚀刻流程控制方法24中,製程流程控制系統可 根據不同的殘餘厚度決定不同的回蝕刻程序,殘餘厚度的 預期範圍便相形擴大,而符合預期範圍的半導體晶片^可 七接進行回蝕刻製程,使半導體晶片的製程流程十分° 暢’並且減少製程工程師進行特別處理的情況。 子殘留的第一介電層20所進行回蝕刻製程是一種乾蝕 於乾㈣系統中完成,#刻對照表也可儲存於 =刻系統之中。乾#刻系統會依據第—介電層2{)之^ =以及蝕刻對照表中與殘餘厚度相對應之 J、 自動進行回蝕刻製程,以水平地去除 。輕序來 介電層16之表面高I 十地去除第一介電層2〇至第二405204 Five 'Invention (5) Control' and the etch control table is stored in the process control system. The process and process control system determines the corresponding etch-back procedure based on the residual thickness of the first dielectric layer and the etch control table. To perform an etch-back process. Please refer to Figure 8. 28 is a schematic flow chart of the etching process control method 24 of the present invention. When the semiconductor wafer 30 has completed the previous process before CMP, and after CMP, the remaining thickness of the first dielectric layer 20 is then measured, and the process flow control system then uses the remaining thickness of the first dielectric layer 20 and the etching comparison table. To determine the corresponding etch-back procedure to automatically perform the etch-back process "," and then perform subsequent processes. Compared to the conventional etching process control method 22, only one etch-back process can be performed, and the residual of the first dielectric layer The expected range of thickness is set to be small, so that the process flow is often suspended due to non-compliance with the residual thickness. In the etching process control method 24 of the present invention, the process flow control system can determine different etch-back procedures according to different residual thicknesses. The expected range is expanded in size, and the semiconductor wafer that meets the expected range can be etched back in seven steps, which makes the process flow of the semiconductor wafer very smooth and reduces the number of special treatments by the process engineer. First residual dielectric layer The etch-back process performed by 20 is a dry etching process performed in the dry etching system, and the #etch comparison table can also be stored. = In the engraving system. The dry engraving system will automatically perform an etch-back process in accordance with ^ = of the first dielectric layer 2 {) and the corresponding thickness in the etching comparison table to remove it horizontally. The surface height of the dielectric layer 16 is removed from the first dielectric layer 20 to the second by ten.

405204 五、發明說明(6) 請參考圖九。圖九為積體電路之多重金屬内連線結構 的切面示意圖^本發明蝕刻流程控制方法也可以運用在積 ^電路之多重金屬内連線的製程。半導體晶片5〇包含有一 矽基材52,一製備完成之M〇s電晶體54形成於矽基材52之 上一第一金屬内連線層56沈積於M0S電晶體54之上,用 二連結各個電晶體與元件’以及一内金屬介電層58沈積於 一金屬内連線層56之上"内金屬介電層58是用來隔離第 一金屬内連線層56與後續沈積於内金屬介電層58上之第二 金屬内連線層,以避免兩層金屬内連線層相接觸而發生短 當沈積第二金屬内連線層於内金屬介電層58上之前’ 需要先進行内金屬介電層58之平坦化製程,因為在平坦的 内金屬介電層58上比較容易製作第二金屬内連線層,而且 經過轉移的導線圖案也比較準確。進行内金屬介電層58之 平坦化製程時,為了避免半導體晶片表面於CMp產生微到 痕,可利用本發明之方法來提供一蝕刻對照表,其包含有 數組内金屬介電層58之殘餘厚度範圍,以及與各個厚度範 圍相對應之回蝕刻程序或參數。利用本發明之方法的金屬 介電層之平坦化製程包含有下列步称: (1) 對内金屬介電層58進行CMP以去除内金屬介電層58之一 預定厚度; (2) 測量内金屬介電層58之殘餘厚度;405204 V. Description of the invention (6) Please refer to Figure IX. FIG. 9 is a schematic cross-sectional view of a multi-metal interconnect structure of an integrated circuit. The method for controlling an etching process of the present invention can also be applied to a multi-metal interconnect process of an integrated circuit. The semiconductor wafer 50 includes a silicon substrate 52. A prepared Mos transistor 54 is formed on the silicon substrate 52. A first metal interconnect layer 56 is deposited on the MOS transistor 54 and is connected by two. Each transistor and component 'and an inner metal dielectric layer 58 are deposited on a metal interconnect layer 56. The "inner metal dielectric layer 58" is used to isolate the first metal interconnect layer 56 from subsequent deposition in the inner A second metal interconnect layer on the metal dielectric layer 58 to avoid short contact between the two metal interconnect layers. When a second metal interconnect layer is deposited on the inner metal dielectric layer 58 'needs to be The planarization process of the inner metal dielectric layer 58 is performed because it is easier to fabricate a second metal interconnect layer on the flat inner metal dielectric layer 58 and the transferred wire pattern is more accurate. When the planarization process of the inner metal dielectric layer 58 is performed, in order to avoid micro traces on the surface of the semiconductor wafer on the CMP, the method of the present invention can be used to provide an etching comparison table, which contains the residues of the metal dielectric layer 58 in the array Thickness range, and etch-back procedures or parameters corresponding to each thickness range. The planarization process of the metal dielectric layer using the method of the present invention includes the following steps: (1) CMP the inner metal dielectric layer 58 to remove a predetermined thickness of the inner metal dielectric layer 58; (2) within the measurement The residual thickness of the metal dielectric layer 58;

C:\CARRIE\Desktop\二維碼\NAU\NAU-10.PTD第 9 頁 405204C: \ CARRIE \ Desktop \ Two-dimensional code \ NAU \ NAU-10.PTD Page 9 405204

五、發明說明(7) (3 )提供蝕刻對照表,发 餘屎许4SHI、,n '、包含有數組内金屬介電層58之殘 餘厚度範圍u及與久彻 或參數;以及 各個厚度圍相對應之回蚀刻程序 (4)依據内金屬介雷jgu 蛊& /t # ^ Β 58殘餘厚度以及其蝕刻對照表中 殘餘厚度相對應之回蝕刻程序來進行一回蝕刻製 程,將内金屬介電層58平坦化。 相較於習 控制方法24是 一個蝕刻對照 相對應之回蝕 蝕刻流程控制 設定於較大的 動地進行回蝕 晶片製程流程 圍的情況很少 情況。 知蚀刻流程控 利用製程流程 表,依據介電 刻程序來自動 方法時,第一 範圍,而在預 刻製程,生產 更為順暢。並 發生,因此減 制方法2 2,本發明的蚀刻流程 控制系統或乾蚀刻系統來提供 層之殘餘厚度以及其殘餘厚度 進行回蝕刻製程。運用本發明 介電層CMP後的殘餘厚度可以 期範圍内的半導艘晶片都可自 線不會經常暫停,因此半導體 且由於殘餘厚度不符合預期範 少製程工程師進行特別處理的 圍 以上所述僅為本發明之較佳實施例,凡依本發明申兮奮 專利範圍所做之均等變化與修飾,皆應屬本發明專利之^V. Description of the invention (7) (3) Provide an etching comparison table, including the residual thickness 4SHI ,, n ', the residual thickness range u and the length or parameter including the metal dielectric layer 58 in the array; and each thickness range Corresponding etch-back procedure (4) An etch-back process is performed according to the etch-back procedure corresponding to the residual thickness of the inner metal dielectric thunder jgu 蛊 & / t # ^ Β 58 and the residual thickness in the etching comparison table to remove the inner metal The dielectric layer 58 is planarized. Compared with Xi, the control method 24 is an etch control. Corresponding etchback control is set to a large dynamic etchback. Wafer process conditions are rare. Knowing the etching process control uses the process flow table to automate the method according to the dielectric engraving program, the first range, and in the pre-etching process, the production is smoother. And it happened, so the reduction method 22, the etching process control system or the dry etching system of the present invention provides the residual thickness of the layer and its residual thickness for the etch-back process. After using the dielectric layer of the present invention, the residual thickness of CMP semiconductor wafers within the range can be suspended from time to time, so the semiconductor and the residual thickness does not meet the expectations. It is only a preferred embodiment of the present invention, and all equivalent changes and modifications made in accordance with the scope of the patent application of the present invention shall belong to the patent of the present invention ^

C:\CARRIE\Desktop\二維瑪\NAU\NAIH0.PTD第 10 頁C: \ CARRIE \ Desktop \ Two-dimensional map \ NAU \ NAIH0.PTD page 10

Claims (1)

Δ(ί^9ΜΛ_ 六、申請專利範圍 第一介電層的蝕刻流程控 於一第二介電層之上,該 電層進行一北學機械研磨 之一預定厚度; > 複數組該第一介電層之殘 度範圍相對應之回蝕刻程 以及該蚀刻對照表中與該 程序來進行一回触刻製程 層至第二介電層之表面高 1. 一種去除一半導體晶片表面之 制方法,該第一介電層係覆蓋 方法包含有下列步驟: 對s亥半導體晶片表面之第一介 製程以去除該第一介電層 測量該第一介電層之殘餘厚度 提供一蝕刻對照表,其包含有 餘厚度範圍以及與各個厚 序或參數;以及 依據該第一介電層之殘餘厚度 殘餘厚度相對應之回蝕刻 以水平地去除該第一介電 度0 2 ·如申請專利範圍第1項之方法,其中該蝕刻對照表係存 放於一製程流程控制系統中’該製程流程控制系統係用 來決定該半導體晶片的製程步驟,該製程流程控制系統 依據該第一介電層之殘餘厚度以及該蝕刻對照表來決定 相對應之回蝕刻程序以進行—回蝕刻製程。 3.如申請專利範圍第1項之方法,其中該第二介電層為_ 氮矽物(SiΝχ),其表面含有複數個淺溝用來隔絕該半導 體晶片上之元件,而該第一介電層為一氣矽*(Si〇x), 用來填滿該複數個淺溝。Δ (ί ^ 9ΜΛ_ VI. Patent application scope The etching process of the first dielectric layer is controlled on top of a second dielectric layer, which is subjected to a predetermined thickness of mechanical mechanical polishing; > Multiple arrays of the first The etchback process corresponding to the residual range of the dielectric layer and the etching comparison table and the procedure to perform a touch-etching process layer to the surface of the second dielectric layer 1. A method for removing the surface of a semiconductor wafer The first dielectric layer covering method includes the following steps: providing an etching comparison table for the first dielectric process on the surface of the semiconductor wafer to remove the first dielectric layer and measuring the residual thickness of the first dielectric layer, It includes a range of remaining thicknesses and thickness sequences or parameters; and an etchback corresponding to the residual thickness of the first dielectric layer to remove the first dielectric level horizontally. Item method, wherein the etching comparison table is stored in a process flow control system. The process flow control system is used to determine the process steps of the semiconductor wafer. The process flow control system According to the residual thickness of the first dielectric layer and the etching comparison table, a corresponding etch-back process is performed to perform the etch-back process. 3. The method according to item 1 of the scope of patent application, wherein the second dielectric layer is _ Silicon nitride (SiNχ), whose surface contains a plurality of shallow trenches to isolate components on the semiconductor wafer, and the first dielectric layer is a silicon silicon * (Si〇x), which is used to fill the plurality of shallow trenches. ditch. C:\CARRIE\Desktop\ 二維碼\NAU\NAU-10.PTD 第 11 頁C: \ CARRIE \ Desktop \ QR code \ NAU \ NAU-10.PTD page 11 4. 如工請專利範圍第2項之方法’其中該半導體晶 含有一由氧矽物(SiOx)所構成之墊層(pad Uye〇位 於該第二介電層之下’用來防止該第二介電層因加 產生之表面應力過大而造成該半導體晶片之損傷。·、、' 5. 如申請專利範圍第1項之方法,其中該回蝕刻製程 一乾蝕刻(dry etch)製程。 ' 6.如申請專利範圍第4項之方法,其中該乾蝕刻製程係完 成於一乾蝕刻系統,而該蝕刻對照表係儲存於該乾姓&刻 系統之中,該乾蝕刻系統會依據該第一介電層之殘餘厚 度以及該蝕刻對照表中與該殘餘厚度相對應之回蝕刻程 序來自動進行一回蝕刻製程以水平地去除該第_介電層 至第二介電層之表面高度。4. If the method of item 2 of the patent is applied, wherein the semiconductor crystal contains a pad layer (pad Uye〇 under the second dielectric layer) made of silicon oxide (SiOx), it is used to prevent the first The second dielectric layer caused damage to the semiconductor wafer due to excessive surface stress caused by the addition. “,” 5. If the method of the scope of patent application No. 1, wherein the etch-back process is a dry etch process. '6 The method of claim 4 in which the dry etching process is completed in a dry etching system, and the etching comparison table is stored in the dry & engraving system, and the dry etching system will be based on the first The residual thickness of the dielectric layer and the etch-back procedure corresponding to the residual thickness in the etch lookup table automatically perform an etch process to horizontally remove the surface height from the first dielectric layer to the second dielectric layer.
TW87121384A 1998-12-22 1998-12-22 Method to control the etching process TW405204B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW87121384A TW405204B (en) 1998-12-22 1998-12-22 Method to control the etching process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW87121384A TW405204B (en) 1998-12-22 1998-12-22 Method to control the etching process

Publications (1)

Publication Number Publication Date
TW405204B true TW405204B (en) 2000-09-11

Family

ID=21632415

Family Applications (1)

Application Number Title Priority Date Filing Date
TW87121384A TW405204B (en) 1998-12-22 1998-12-22 Method to control the etching process

Country Status (1)

Country Link
TW (1) TW405204B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7273266B2 (en) 2004-04-14 2007-09-25 Lexmark International, Inc. Micro-fluid ejection assemblies
US7456110B2 (en) 2001-02-14 2008-11-25 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7456110B2 (en) 2001-02-14 2008-11-25 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
US7273266B2 (en) 2004-04-14 2007-09-25 Lexmark International, Inc. Micro-fluid ejection assemblies

Similar Documents

Publication Publication Date Title
US6350694B1 (en) Reducing CMP scratch, dishing and erosion by post CMP etch back method for low-k materials
US5321304A (en) Detecting the endpoint of chem-mech polishing, and resulting semiconductor device
JP3360350B2 (en) Surface flattening method
TW200845173A (en) Method of manufacturing a semiconductor device and a semiconductor manufacturing equipment
US6214699B1 (en) Method for forming an isolation structure in a substrate
US20050016686A1 (en) Apparatus and method for fabricating semiconductor devices
WO2000002235A1 (en) Method of planarizing integrated circuits
US6809031B1 (en) Method for manufacturing a reclaimable test pattern wafer for CMP applications
JPH11186162A (en) Emphasizing method of alignment mark contrast
TW405204B (en) Method to control the etching process
CN102054754A (en) Manufacturing method for metal interconnection line
CN102130036B (en) Method for producing shallow trench isolating structure
US6242352B1 (en) Method of preventing micro-scratches on the surface of a semiconductor wafer when performing a CMP process
Balan et al. CMP process optimization for bonding applications
US6881675B2 (en) Method and system for reducing wafer edge tungsten residue utilizing a spin etch
KR100731090B1 (en) Method of forming isolation layer of semiconductor device
CN111354675A (en) Shallow trench isolation structure and forming method thereof
JP3245076B2 (en) How to flatten shallow isolation trenches
KR20020060815A (en) Method for forming shallow trench isolation of semiconductor element
KR100877255B1 (en) Metal line fabrication method of semiconductor device
KR100347533B1 (en) Method of forming a metal wiring in a semiconductor device
KR100190065B1 (en) Trench isolation method
KR100403351B1 (en) Method for forming etch monitoring box in dual damascene process
US9490141B2 (en) Method for planarizing semiconductor device
TW409308B (en) The method of taking-off the micro-scrape caused by CMP

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent