JP2004500558A - テスト応答を選択的に圧縮する方法及び装置 - Google Patents

テスト応答を選択的に圧縮する方法及び装置 Download PDF

Info

Publication number
JP2004500558A
JP2004500558A JP2001540386A JP2001540386A JP2004500558A JP 2004500558 A JP2004500558 A JP 2004500558A JP 2001540386 A JP2001540386 A JP 2001540386A JP 2001540386 A JP2001540386 A JP 2001540386A JP 2004500558 A JP2004500558 A JP 2004500558A
Authority
JP
Japan
Prior art keywords
test
compactor
scan chain
responses
scan
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001540386A
Other languages
English (en)
Other versions
JP4047584B2 (ja
Inventor
ラジスキー,ジャヌーツ
タイツァー,ジャーズィ
カッサブ,マーク
ムケルジー,ニランジャン
Original Assignee
メンター・グラフィクス・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by メンター・グラフィクス・コーポレーション filed Critical メンター・グラフィクス・コーポレーション
Publication of JP2004500558A publication Critical patent/JP2004500558A/ja
Application granted granted Critical
Publication of JP4047584B2 publication Critical patent/JP4047584B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors

Abstract

決定論的なテスト環境で、未知の値又は複数の故障効果を含むテスト応答を圧縮する方法と装置である。提案された選択的コンパクター(30)は、テスト応答をコンパクター(36)に選択的に送る選択回路(32)を有する、線形コンパクター(36)を使用する。一実施例では、ゲート論理は制御レジスター、デコーダー及び、フラグレジスターにより制御される。この回路は、どのような従来の並列テスト応答圧縮機構と共に、制御回路(34)が、特定のクロックレートで、望ましい走査チェインの直列出力が並列コンパクターに送られることを選択的に可能とすることを許す。第1のフラグレジスタは、全ての又は、幾つかのみの走査チェイン出力が可能化され且つコンパクター(36)へ送られるかを決定する。第2のフラグレジスタは、選択器レジスタにより選択された走査チェインが可能化され且つ全ての他の走査チェインが不能化されるか又は、選択された走査チェインが不能化され且つ他の走査チェインが可能化されるかを決定する。

Description

【0001】
技術分野
本発明は、一般的には集積回路のテスティングに関連し、そして、特に集積回路内の故障をテストするために使用されるテスト応答の圧縮に関連する。
【0002】
発明の背景
集積回路は、より高いレベルの回路密度で製造されるので、非常に高い故障検出範囲を保証しながらテストコストとチップ面積のオーバーヘッドを最小化する効率的なテスト機構が必須となっている。しかしながら、回路の複雑さが増加し続けるので、幾つかの形式の故障モデルの、高い故障検出範囲が、従来のテスティングパラダイムでは、達成することが更に困難になってきている。この困難さは、幾つかの理由から発生する。第1に、大規模集積回路は、チップのピンでテストデータ転送のボトルネックとなる、非常に高く且つまだ増加している論理対ピン比を有する。第2に、大規模な回路は、外部テスティング装置に蓄積されねばならない、極端に大きな量のテストデータを、必要とする。第3に、テストデータを大規模回路に与えることは、ますます長いテスト適用時間を必要とする。第4に、現在の外部テスト装置は、そのような大規模回路を、それらの動作の速度でテストすることができない。
【0003】
集積回路は、現在、幾つかのテスト性のための構造化設計(DFT)技術を使用して、テストされている。これらの技術は、全ての又は幾つかの状態変数(フリップフロップやラッチのようなメモリ要素)を直接的に制御可能又は観測可能とする一般的な概念に基づいている。これが配置されると、回路は、組合せ故障のテスティングに関する限り、組み合わせ又は略組合せネットワークとして、扱うことができる。最も頻繁に使用されるDFT方法は、走査チェインに基づいている。これは、米国特許番号4,503,537に示されたように、テスティング中に、全ての(又は、ほとんど全ての)メモリ要素は、1つ又はそれ以上のシフトレジスターに接続される。テストのために設計された回路は、標準モードと、テスト又は走査モードの、2つの動作モードを有する。標準モードでは、メモリ要素はそれらの正規の機能を実行する。走査モードでは、メモリ要素は、走査チェインと呼ばれる幾つかのシフトレジスターを構成する、走査セルとなる。これらの走査チェインは、テストパターンの組みを回路内にシフトし、且つ、テストパターンへの応答を、回路からシフトして出力し、又は、テストするのに使用される。テスト応答は、テスト下の回路(CUT)が適切に動作しているかを決定するために、故障無しの応答と比較される。
【0004】
走査設計方法は、その単純な自動テストパターン発生(ATPG)とシリコンデバック能力によって、広く採用されている。今日では、ATPGソフトウェアツールは、非常に効率的であるので、スタックアト(stuck−at)、遷移、パス遅延故障及び、ブリッジング故障を含む幾つかの形式の故障モデルのほぼ完全な故障検出範囲を補償する、テストセット(テストパターンの集合)を発生することが可能である。典型的には、回路内の特定の潜在的な故障がATPGツールによる目標となるときには、例えば2−5%の少ない数の走査セルのみ、特定の故障を検出するように規定されねばならない(決定論に規定されたセル)。走査チェイン内の残りの走査セルは、ランダムなバイナリー値で満たされている(ランダムに規定されたセル)。このように、幾つかの追加の故障を更に検出するために、パターンは完全に規定され、そして、テスターに蓄積される。
【0005】
図1は、走査チェインでディジタル回路をテストする従来のシステム10のブロック図を示す。外部の自動テスト装置(ATE)又はテスター12は、完全に規定されたテストパターン14の組みを、1つづつ、走査モードで回路内の走査チェイン18を介して、CUT16に与える。そして、回路は、標準モードで、入力としてテストパターンを使用して実行され、そして、テストパターンに対するテスト応答は、走査チェイン内に蓄積される。再び走査モードの回路で、応答がテスタ12に経路が選択され、テスタ12は、その応答を、故障無しの基準応答20と、1つづつ、比較する。大規模回路では、このアプローチは、大きなテストセットのサイズと長いテスト適用時間のために、不可能である。テストデータの量は、大規模な設計の単一論理ゲート当り1キロビットを超えることが、報告されている。このアプローチの大きな制限は、複雑な回路をテストするために、高価な、メモリの多いテスタと長いテスト時間を必要とすることである。
【0006】
時間と蓄積のこれらの制限は、図2に示されたように、組み込み自己テスト(BIST)の枠組みを適用することにより、ある程度解決することができる。BISTには、追加のチップ上の回路が、テストパターンを発生し、応答を評価し、そして、テストを制御するために、含まれている。例えば、擬似ランダムパターン発生器21は、決定論的なテストパターンを有する代わりに、テストパターンを発生するのに使用される。加えて、多入力シグネチャーレジスター(MISR)22が、テスト応答からの、結果のシグネチャーを発生し且つ蓄積するのに使用される。テストパターンとして擬似ランダムパターンが使用される、従来の論理BISTでは、ランダムパターン抵抗故障と取り組むために、テストポイントが採用されていれば、スタックアト故障の95−96%の故障検出範囲が達成できる。平均すると、1000ゲート毎に対して、1から2のテストピンが必要とされる。BISTでは、観測可能な出力とシグネチャーレジスターへ伝搬するすべての応答が、既知でなければならない。未知の値はシグネチャーを壊し、そして、したがって、追加のテスト論理により境界をつけられなければならない。擬似ランダムテストパターンが、スタックアト故障の大きな検出範囲をカバーしても、残りのランダムパターン抵抗故障を目的とするこれらのパターンは、決定論的なパターンにより補われねばならない。非常に頻繁に、補足パターンをBISTで蓄積することを要求されるテスタのメモリは、上述の決定論的なアプローチ内で要求されるメモリの50%を超える。BISTの他の制限は、遷移又はパス遅延故障のような他の形式の故障を、擬似ランダムパターンにより効率的に扱うことができないことである。回路の複雑さとBISTの固有の制限により、ハード−ツー−テスト故障を完全にカバーするテストパターンの組みを供給することは、不可能でなければ、非常に難しい。
【0007】
幾つかのDFT技術は、走査チェインからテスト応答を圧縮するコンパクターを含む。一般的には、時間コンパクターと空間コンパクターの、2つの形式のコンパクターがある。時間コンパクターは、典型的には、テスト及び、結果を示すシグネチャーを蓄積するメモリを有する帰還構造を有する。そのシグネチャーが完了すると、読み出されそして、故障無しのシグネチャーと比較される。空間コンパクターは、一般的には、走査チェインからのビットの集合(ベクトルと呼ぶ)を圧縮する。圧縮された出力は、テスト応答が走査チェインからシフトして出力されるにつれて、実時間で分析される。米国特許番号5,790,562や、AND,OR,NAND及びNORゲートのような基本ゲートを含む、マルチプレックスされたパリティツリー又は非線形ツリーに基づいた他の文献に示されているように、空間コンパクターは、エイリアシング現象を減少させるために、テスト下の所定の回路に対して特化されることが可能である。
【0008】
テスト下のmの一次出力からnのテスト出力を発生するために、線形空間コンパクターは、排他的−OR(XOR)又は、排他的−NOR(XNOR)ゲートで構成される。ここで、n<mである。線形コンパクターは、非線形コンパクターと異なり、線形コンパクターの出力は、コンパクターへの丁度1入力内で変化する。非線形コンパクターでは、入力値内の変化は、コンパクターの出力で検出できなくなる。しかしながら、線形コンパクターでさえも、主席回路内のエラーをマスクする。例えば、XOR(パリティ)ツリーのの基本特性は、入力に関する奇数の誤りの組合せは、その出力に伝搬し、そして、偶数の誤りの組合せは未検出のまま残る。
【0009】
理想的な圧縮アルゴリズムは、以下の特徴を有する。(1)オンチップテスト回路の一部として実行しやすく、(2)テスト時間に関する制限要因ではなく、(3)テストデータの対数的圧縮を提供し、(4)故障に関する情報を失わない。しかしながら、一般的には、上述の基準を満たす既知の圧縮アルゴリズムはない。特に、故障回路から得られた圧縮された出力は、故障無しの回路の圧縮された出力と同じではないということを保証するのは難しい。この現象は、しばしば、エラーマスキング又は、エイリアシングと呼ばれ、そして、発生の見こみに関して測定される。エラーマスキングの例は、空間コンパクターが同時に2つの故障効果を読むときに発生する。多故障効果は、互いに相殺し、そして、コンパクター出力は故障が発生しない場合と同じである。
【0010】
未知の状態も、エラー検出に対して問題がある。XORツリーの1つ又はそれ以上の入力に関する未知の状態は、その出力に未知の値を発生し、そして、したがって、それらの入力の故障の伝搬をマスクする。空間コンパクターの一般的な応用は、テスト性のための設計方法の一部として、CUT内に挿入される観測点を結合することである。空間コンパクターは、並列入力の数を制限することにより、時間コンパクターのサイズを減少させるのにも使用される。
【0011】
疑いなく、実際に最も人気のある時間コンパクターは、線形帰還シフトレジスター(LFSR)である。この基本的な形式では、LFSR(図3参照)は多項式割り算器として動作するように、外部入力を受けるように修正される。図4には、(タイプII LFSRと呼ばれる)代わりの実行が示されている。多項式で示される入力シーケンスは、LFSRの特性多項式により割り算される。割り算が進むにつれて、商系列がLFSRの出力に現れ、そして、余りはLFSR内に保持される。一旦テストが終了すると、LFSRの内容がシグネチャーとして扱われる。
【0012】
図5は、多入力LFSRと呼ばれ、多入力シグネチャーレジスター(MISR)としても知られる、(LFSRに基づくコンパクターの自然な拡張である)他の時間圧縮器を示す。MISRは、米国特許番号4,503,537に示されている、複数の走査チェイン環境内で回路をテストするのに使用される。MISRの特徴は、フリップフロップに付加された幾つかのXORゲートである。そして、CUT走査チェイン出力は、これらのゲートに接続される。
【0013】
図6は、XORゲートの段階を分離するフリップフロップのバンクを有する、パイプライン化された空間コンパクターの例を示す。(図示していない)クロックは、フリップフロップを制御し、そして、圧縮された出力を読み出す前に1周期の遅延を可能とする。
【0014】
図6に示されたような、空間コンパクターの制限は、未知の状態が、故障検出範囲を減少することである。図3、4及び5に示すような、時間コンパクターは、何れかの入力に関する未知の状態が、コンパクターにより発生された圧縮された出力を壊すので、未知の状態を扱うことが全くできない。時間コンパクターと空間コンパクターの両方で、多故障効果が、故障検出範囲を減少させる。更に加えて、故障効果が集積回路内で検出される場合には、これらのコンパクターは故障をローカル化する制限された能力を有する。
【0015】
従って、本発明の目的は、どの走査チェインが分析されるかということを選択できる、効率的なコンパクターを提供することである。この選択する能力は、未知の状態又はその入力に関する多故障効果を受信するときにさえも、コンパクターが、有効な圧縮された出力を発生することを可能とする。このコンパクターを、集積回路内で、診断によって故障の位置を決定するためにも、使用することができる。
【0016】
発明の概要
1つ又はそれ以上の走査チェイン内のテスト応答を圧縮された出力に圧縮するために選択し、一方、1つ又はそれ以上の他のテスト応答はマスクされる、コンパクターが開示される。このように、未知の状態を含むテスト応答は、コンパクターが有効な圧縮された出力を発生することを保証するためにマスクされる。さらに加えて、テスト応答は、故障マスキングが発生しないことを保証するために、マスクされ得る。コンパクターは、診断によって集積回路内の故障をローカル化するために、個々の走査チェインからのテスト応答を分析もする。
【0017】
コンパクターは、どの走査チェインが分析されるかを制御する、選択回路を有する。選択回路は、走査チェインからの所望のテスト応答を、コンパクターに送り、一方、他のテスト応答をマスクする。一実施例では、選択回路は、走査チェインの唯一の識別子がロードされる、識別レジスターを有してもよい。フラグレジスターの状態に基づいて、識別された走査チェイン内に蓄積されたテスト応答のみがコンパクターに送られるか又は、識別された走査チェインに関連するテスト応答を除く全てのテスト応答がコンパクターに送られるかの何れかである。
【0018】
他の実施例では、選択回路は、選択されたテスト応答のみが圧縮されるかどうか又は、全てのテスト応答が圧縮されるか動かを制御する、フラグを含む。
【0019】
更に他の実施例では、圧縮内に含まれる各走査チェインを個々に識別する、制御レジスターが、使用される。この実施例では、走査チェイン内の可変数(例えば、1,2,3,4...)のテスト応答が、圧縮内に含まれる。代わりに、制御レジスターは、圧縮される1つのテスト応答をを選択するためにデコードされる、唯一の識別子を蓄積する。
【0020】
更に他の実施例では、選択回路は、クロック周期毎に走査チェインからのビットをマスクする、制御ラインを含む。したがって、テスト応答は、個々のビットのみがマスクされ、一方、テスト応答の残りのビットは圧縮される。
【0021】
本発明の前述のそして他の目的、特徴及び、優位点は、図面を参照して、以下の詳細な説明から更に明らかとなろう。
【0022】
発明の詳細な説明
図7は、テスト下の回路28内の多走査チェイン26を含む、集積回路24のブロック図を示す。選択的コンパクター30は、走査チェイン26に接続されそして、選択器回路32とコンパクター36を含む。走査チェイン26は、予め定められたテストパターンが(図示していない)ATEからロードされるので、示されたシステムは、決定論的なテスト環境である。テストパターンは、テスト応答を発生するために、集積回路のコアロジックに与えられ、テスト応答も走査チェイン26に蓄積される(各走査チェインはテスト応答を含む)。テスト応答は、集積回路24内のコアロジック内の故障に関連する情報を含む。不運にも、テスト応答は、テスト応答の効果的な検出範囲に悪い衝撃を与えうる、未知の状態及び/又は多故障効果も含み得る。例えば、メモリセルが初期化されていない場合には、未知の状態がテスト応答に伝搬する。テスト応答が選択的コンパクター30の選択器回路32に送られる。選択器回路32は、どのテスト応答が選択器回路を通してコンパクター36に送られるかを制御する、制御論理34を含む。制御論理34は、未知の状態を有するテスト応答又は、多故障効果がマスクされるように、選択器回路32を制御できる。制御論理は、1つ又はそれ以上の制御ラインにより制御される。示されていないが、制御線はATEのチャネルに直接接続されているか、又は、集積回路内の他の論理に接続されていてもよい。例えば、制御線は、位相シフターと組合せて、線形有限状態マシン(例えば、LSFRタイプ1、LSFRタイプ2、セルラーオートメーション等)に接続されてもよい。コンパクター36は、選択器回路32からの望ましいテスト応答を受信しそして、分析のために、応答を、圧縮された出力に圧縮する。圧縮された出力は、テスト下の回路が故障を含むかどうかを決定するために、望ましい出力と比較される。選択回路、コンパクター及び、テスト下の回路は、全て単一の集積回路内に示されている。しかしながら、選択回路とコンパクターはATE内のような、集積回路の該部に配置されてもよい。
【0023】
図8は、テスト下の回路内の多走査チェイン44に接続された選択的コンパクター42を含む集積回路40の一例を示す。8走査チェインのみが示されているが、テスト回路40は幾つの走査チェインを含んでもよい。選択的コンパクター42は、選択器回路46とコンパクター48を含む。コンパクター48は、線形空間コンパクターであるが、従来の並列テスト応答圧縮機構が選択器回路46ともに使用でき、以下に詳細に説明する。選択器回路46は、制御論理50を含み、これは、この例ではシフトレジスターとして示されている、入力レジスター52を含む。入力レジスター52は、クロック入力54とデータ入力56を有する。クロック入力54のクロックの各周期で、データ入力56からのデータは入力レジスター52内にシフトされる。レジスター52は走査識別フィールド58、”1/1でない”フィールド60及び、”全てではない/全て”フィールド62を含む多フィールドを有する。制御レジスター64は、入力レジスター52に対応するビット位置を有し、そして、更新ライン66に更新信号を受信すると、制御レジスター64は、入力レジスター52から、各ビット位置に並列にロードする。したがって、制御レジスター64は、フィールド58,60及び、62を有する。制御レジスター64はシフトレジスターとして、一般的に示されているが、更新ライン66は、実際には各クロックサイクルで、レジスター64内の各ビット位置に、更新ラインが不活性化されたときに、自身のデータ再ロードすることを許す、(図示していない)マルチプレクサへの制御ラインである。更新ラインが不活性化されたときに、マルチプレクサは、レジスター52の内容を、制御レジスター64の対応するビット位置に送る。制御レジスター64は、そして、クロックに同期してロードされる。
【0024】
選択器回路46は、制御レジスター64に接続された一般的には68で示されている論理ゲートを含む。論理ゲート68は、制御レジスター64の異なるフィールド58,60,62に応答する。例えば、走査識別フィールド58は、どの走査チェイン44も唯一に識別するのに十分な数のビットを含む。制御レジスター64の走査識別フィールド58は、ANDゲートとインバーターの直列接続として70で示されるデコーダーに接続されている。デコーダー70は、走査識別フィールドのに依存してデコーダー出力に論理1を供給し、一方では、デコーダーの他の出力は論理ゼロである。
【0025】
制御レジスター64の1/1でないフィールド60は、走査識別フィールド58内で識別された走査チェインに関連する1つのテスト応答のみを通すか、又は、走査識別フィールドで識別された走査チェインを除く全てのテスト応答を通すかの何れかで使用される。全て/全てではないフィールド62は、効果的に他のフィールドを無効にする。特にフィールド62は、走査チェイン44内の全てのテスト応答がコンパクター48に送られるか又は、走査識別フィールド58と1/1でないフィールド60により制御されるテスト応答のみが、送られるかを制御する。フィールド62がクリアされると、走査識別フィールド58と1/1でないフィールド60により制御されるテスト応答のみが、コンパクター48に送られる。逆に、フィールド62が論理1に設定される場合には、走査識別フィールド58と1/1でないフィールド60に関わらず、全ての走査チェイン44からの全てのテスト応答がコンパクター48に送られる。
【0026】
図9は、走査チェイン82に接続された、選択的コンパクター80の他の実施例を示す。選択的コンパクターは、選択器回路84を含み、これは、図8に関連して説明した選択器回路46と同一である。選択的コンパクター80は、時間コンパクター84も含み、これは、循環コンパクターであると従来技術で理解される。時間コンパクターは、多フリップフロップ86と直列に接続されたXORゲート88を有する。リセットライン90は、コンパクター84をリセットするために、フリップフロップ86に接続されている。リセットラインは、走査チェインを読みながら複数回リセットされ得る。出力レジスター92は、読出しライン94の活性化に際して、コンパクター84の有効な出力を供給する。
【0027】
図8と9の両方を参照すると、動作では、(図示していない)ATEから(図示していない)走査チャネル上にデータシフトすることにより、走査チェイン82は直列に、予め定められたテストパターンがロードされる。同時に、入力レジスタ52は、フィールド60、62に、走査識別子と制御フラグがロードされる。走査チェイン44、82内のテストパターンは、テスト下の回路に与えられ、そして、テスト応答は走査チェイン内に蓄積される。走査チェインからテスト応答をシフトする前に、更新ライン66は活性化され、フィールド58,60,62は、制御レジスタ64に移動する。それにより、制御レジスタは、コンパクター48、84に送られるテスト応答を選択するために、論理ゲート68を制御する。フィールド62が、選択が無効にならないような状態である場合には、ある一定のテスト応答は、マスクされる。図8の例では、空間コンパクター48は、走査チェインからテスト応答をシフトしながら、対応する圧縮された出力を直列に且つ同時に供給する。逆に、図9では、選択的コンパクター80は、読出しライン94が活性化されるまで、適切な圧縮された出力を提供しない。選択的コンパクター80は、直列とは対照的に、並列に圧縮された出力を供給する。テスト応答を読出しながら、選択的コンパクター80は、複数回(例えば、8クロック周期毎に)読み出される。
【0028】
図10は、選択的コンパクター100の他の実施例を示す。再び、選択的コンパクターは、選択器回路102及び、コンパクター104を含む。コンパクター104は、カスケードされたコンパクターと呼ばれる空間コンパクターの形式である。N走査チェイン106は、M走査セル108を含み、その各々は、テスト応答の1ビットを蓄積する。選択器回路102は、この場合には制御線112に接続されたANDゲートで示される、論理ゲート110を含む。コンパクター104は単一の直列出力114を有する時間コンパクターである。制御線112は、テスト応答をマスクするために使用される。特に、制御線112は、走査チェイン内の全ての対応する走査セルをマスクするか又は、すべての走査セルをコンパクター80に送ることを許すかの何れかである。制御線112は、全体の走査チェインをマスクするよりは、走査セルの各列をマスクするように動作する。このように、何れかの走査チェインからの個々のビットは、クロック周期毎に基づいてマスクされることが可能であり、且つ、走査チェインの残りのビットは、コンパクター104に与えられることが可能である。制御線112が活性化されると、走査チェインからの全てのビットはコンパクターに送られる。制御線112が不活性化されると、走査チェインからの全てのビットはマスクされる。図10は単一の制御線のみを示すが、追加の制御線も、走査チェインの異なるグループをマスクするのに使用できる。更に加えて、制御線112は活性化されるのがハイであるように示されているが、活性化されるのがローのように構成されてもよい。
【0029】
図11は、選択的コンパクター120の更に他の例を示す。自動化されたテスト装置122は、テストパターンを走査チェイン124に供給する。走査チェイン124は、テスト下の回路126の一部である。ATEにより走査チェイン124にロードされるテストパターンは、回路126内のコア論理内の故障を検出するのに使用される。テスト応答は、走査チェイン124内に蓄積され、そして、選択的コンパクター120へ、直列の形式でクロックされる。選択的コンパクターは、選択器回路128及びコンパクター130を含む。選択器回路128は、入力レジスタ132、複数の制御レジスタ134,136及び、複数のデコーダー137と139を含む制御論理を含む。レジスタ132は、(図示していない)更新ラインの活性化で制御レジスタ134,136に移動される、ビットのパターンがロードされる。制御レジスタ134,136は、デコーダー137と139により読み出され、そして、1つ又はそれ以上の論理ゲート138を選択するためにデコードされる。フラグ140は、デコーダー137と139を無効にしそして、全てのテスト応答をコンパクター130に送るために使用される。単一のフラグ140のみが示されているが、デコーダーを別々に制御するために複数のフラグが使用されてもよい。この例では、コンパクター130は、コンパクター142と144のような、複数の空間コンパクターを含む。各制御レジスターは、異なるデータがロードされ、コンパクター142、144は、互いに独立に制御される。
【0030】
図12は、選択的コンパクター150を有する本発明の更に他の実施例を示す。制御論理152は、どのテスト応答がマスクされ、どのテスト応答があっ集されるかを、可変に制御する。従って、制御論理152内の対応するビット位置を活性化することは、そのビットに関連する対応する論理ゲートを活性化し、そして、テスト応答をコンパクターに送ることを許す。逆に、活性化されていないどのビットも、対応するテスト応答をマスクする。
【0031】
図13は、選択器回路158とコンパクター160を含む選択的コンパクター156の他の実施例を示す。この場合には、各走査チェイン164に対応するビット位置を有する入力シフトレジスタ162は、走査チェインを選択的にマスクするのに使用される。データライン168に与えられたデータを、直列にシフトレジスタ162に移動するために、ライン166にクロックが与えられる。適切な時に、更新ライン165は、シフトレジスタからのデータを制御レジスタ169に移動するために活性化される。制御レジスタ169内で活性化される各ビット位置は、走査チェイン164からのテスト応答を、コンパクターへ送ることを許す。全ての他のテスト応答がマスクされる。従って、選択的コンパクターは、どの可変の数のテスト応答もマスクできる。
【0032】
上述の各実施例は、テスト下の回路内の故障をローカル化するための診断ツールとして、使用されることが可能である。例えば、各テスト応答は、同じコンパクターに接続された走査チェイン内の全ての他のテスト応答をマスクすることにより、個々に分析されることが可能である。個々にテスト応答を見ることにより、故障効果を含むテスト応答内のビット位置を決定できる。
【0033】
図14は、テスト応答を選択的に圧縮する方法のフローチャートを示す。処理ブロック170では、ATEは予め定められたテストパターンを集積回路内の走査チェイン内にロードする。このローディングは典型的には、テストパターンを走査チェイン内に直列にシフトすることにより達成される。テストパターンは、テスト下の回路に与えられ(処理ブロック172)そして、テスト応答が走査チェイン内に蓄積される(処理ブロック174)。処理ブロック176では、選択器回路は、どのテスト応答がマスクされるかを制御する。特に、選択器回路は、どの走査チェインがマスクされるか又は、どの走査チェイン内のビットがマスクされるかを制御する。例えば、図8では、選択器回路は、走査識別フィールド58で識別された、全体の走査チェインをマスクする。図10では、走査チェインの個々のビットのみがマスクされる。少なくとも、処理ブロック176では、望ましい故障効果が出力に伝搬するように、選択器回路は、典型的には、未知のデータ又は複数の故障効果をマスクする(ある動作モードでは、全てのテスト応答が出力に送られ得る)。選択器回路が制御レジスタを含む場合には、制御レジスタはテストパターンを走査チェイン内にロードするのと同時にロードされてもよく又は、テスト応答の読出しに先だってロードされることができる。処理ブロック178では、(1つ又はそれ以上のテスト応答がマスクされた)テスト応答は、コンパクターに送られそして、コンパクターはテスト応答に関連する圧縮された出力を発生する。処理ブロック180では、コンパクターにより発生された圧縮された出力は、理想的な応答と比較される。それらが一致する場合には、集積回路は故障がないとみなされる。
【0034】
図示された実施例の原理を説明し且つ記載したが、そのような原理から離れること無しに、実施例は配置と細部を修正することが可能であることは、当業者には明らかである。例えば、選択的コンパクターを形成するために最小の修正で、どの説明されたコンパクターも、どの説明された選択器回路と共に使用できる。さらに加えて、選択器回路を、選択機能を達成する異なる論理ゲートを使用して、簡単に修正できる。例えば、フリップフロップの別々のバンクに接続されて、更新ラインが示されているが、更新ラインは代わりに選択器回路内の論理を制御するために、3状態出力を有する入力レジスタに接続できる。更に、走査チェインは直列シフトレジスタとして示されているが、選択的コンパクターへ並列にテスト応答データを出力するために、論理を付加してもよい。更に、複数の空間及び時間コンパクターが示されたが、空間と時間コンパクターの両方の特徴を有するコンパクターが使用されてもよい。確かに、従来の又は新たに開発されたコンパクターは、選択回路と共に使用されてもよい。
【0035】
多くの可能な実施例を考慮すると、説明された実施例は、本発明の単なる例であり、本発明の範囲を限定するものと解すべきではない。むしろ、本発明は、請求の範囲ににより定義される。従って、本発明として、請求の範囲内である全てのそのような実施例を請求する。
【図面の簡単な説明】
【図1】
集積回路をテストする従来技術のシステムのブロック図である。
【図2】
組み込みテストシステムを使用する従来技術のシステムのブロック図である。
【図3】
従来技術のタイプI LFSRコンパクターの回路図である。
【図4】
従来技術のタイプII LFSRコンパクターの回路図である。
【図5】
走査チェインからの入力を受信する多入力シグネチャーレジスター(MISR)コンパクターの回路図である。
【図6】
従来技術のパイプライン化空間コンパクターの回路図である。
【図7】
本発明に従った選択的コンパクターのブロック図である。
【図8】
走査チェインからのテスト応答をマスクするために、選択回路と空間コンパクターを含む、選択的コンパクターの一実施例を示す図である。
【図9】
走査チェインからのテスト応答をマスクするために、選択回路と空間コンパクターを含む、選択的コンパクターの他の実施例を示す図である。
【図10】
走査チェインからのテスト応答の個々のビットをマスクするために、選択回路とカスケードされたコンパクターを含む、選択的コンパクターの更なる他の実施例を示す図である。
【図11】
テスト応答をマスクするために、選択回路と多コンパクターを含む、選択的コンパクターの他の実施例を示す図である。
【図12】
走査チェインからのどの可変数のテスト応答もマスクする選択回路を有する選択的コンパクターの他の実施例を示す図である。
【図13】
走査チェインのプログラマブル選択を有する選択的コンパクターの他の実施例を示す図である。
【図14】
走査チェインからテスト応答を選択的に圧縮する方法のフローチャートを示す図である。

Claims (26)

  1. 集積回路のテスト応答を選択的に圧縮する装置であって、
    集積回路内の故障を示すテスト応答を蓄積するための、集積回路内の複数の走査チェインと、
    走査チェイン内に蓄積されたテスト応答を圧縮する少なくとも1つのコンパクターと、
    コンパクターから走査チェイン内の1つ又はそれ以上のテスト応答をマスクする、走査チェインとコンパクターの間に接続された選択器回路とを有する装置。
  2. 少なくとも1つのコンパクターは、空間コンパクター、時間コンパクター及び、その組合せよりなるグループから選択される、請求項1に記載の装置。
  3. 選択器回路は、レジスター及び、そのレジスターに接続されたデコーダーを有し、そのデコーダーは、走査チェインからのテスト応答がコンパクターへ進むかどうかを制御するために、レジスターに応答する、請求項1に記載の装置。
  4. 選択器回路は、走査チェインの1つの識別子と、活性化されたときには、レジスター内で識別された走査チェインに関連するテスト応答のみがコンパクターに進むことを許し、且つ、不活性化されたときには、レジスター内で識別されたテスト応答を除いて、全てのテスト応答がコンパクターに進むことを許す、フラグとを蓄積するレジスターを有する請求項1に記載の装置。
  5. 各走査チェインは出力を有し且つ、選択器回路は、走査チェインの出力に接続された第1の入力と、1つ又はそれ以上の制御線に接続された第2の入力と、コンパクターに接続された出力とを有する1組の論理ゲートを有し、1つ又はそれ以上の制御線が活性化されると、論理ゲートは走査チェインの出力に関するデータをコンパクターに送り、且つ、1つ又はそれ以上の制御線が不活性化されると、論理ゲートは走査チェインの出力に関するデータがコンパクターに送られるのを防止する、請求項1に記載の装置。
  6. 各走査チェインは出力を有し且つ、選択器回路は、走査チェインの出力に接続された第1の入力と、レジスターに接続された第2の入力とを有する1組の論理ゲートを有し、レジスターにロードされたデータは、どの走査チェインがコンパクターに送られるかを制御する、請求項1に記載の装置。
  7. コンパクターと選択器回路は、物理的に集積回路上に配置されている、請求項1に記載の装置。
  8. コンパクターと選択器回路は、物理的に集積回路の外部に配置されている、請求項1に記載の装置。
  9. テスト応答をマスクすることは、走査チェイン内のテスト応答の1つ又はそれ以上のビットをマスクすることを含む、請求項1に記載の装置。
  10. テスト応答をマスクすることは、テスト応答を含む走査チェイン内の全てのビットをマスクすることを含む、請求項1に記載の装置。
  11. 集積回路のテスト応答を選択的に圧縮する方法であって、 集積回路内のNのテスト応答を選択器回路に送り、
    0とNの間のテスト応答がコンパクターに送られることを選択的に防止し、一方、残りのテスト応答がコンパクターへ送られることを許す、選択器回路を使用し、
    選択器回路により、コンパクターに送られたテスト応答を圧縮する方法。
  12. テスト応答を選択器回路に送ることは、選択器回路に、直列に各テスト応答をシフトすることを含む、請求項11に記載の方法。
  13. 更に、
    集積回路内に配置された走査チェインに、集積回路をテストする予め定められたテストパターンをロードし、
    テストパターンを集積回路に与えることに応答して、テスト応答を発生し且つ蓄積する、請求項11に記載の方法。
  14. 更に、
    集積回路内に配置された走査チェインに、予め定められたテストパターンをロードし、
    走査チェイン内にテスト応答を発生し且つ蓄積し、
    走査チェインの1つを識別し、
    選択的に防止することは、識別された走査チェイン内のテスト応答のみが、コンパクターに送られることを防止することを含む、請求項11に記載の方法。
  15. 更に、
    集積回路内に配置された走査チェインに、予め定められたテストパターンをロードし、
    走査チェイン内にテスト応答を蓄積し、
    1つの走査チェインを関心の走査チェインとして識別し、且つ、識別された走査チェイン内のテスト応答のみをコンパクターに送り、一方、全ての他のテスト応答がコンパクターに送られるのを防ぐ、請求項11に記載の方法。
  16. 更に、
    唯一に走査チェインの1つを識別する、走査チェイン識別子をレジスターにロードする、請求項11に記載の方法。
  17. テスト応答がコンパクターに送られることを選択的に防止することは、テスト応答の1つ又はそれ以上のビットがコンパクターに送られることを防ぎ、一方、テスト応答の他のビットをコンパクターに送ることを許す、請求項11に記載の方法。
  18. テスト応答が、コンパクターに送られることを選択的に防ぐことは、テスト応答の全てのビットがコンパクターに送られるのを防ぐことを含む、請求項11に記載の方法。
  19. 更に、
    集積回路内に配置された複数の走査チェインに、テストパターンをロードし、
    走査チェイン内にテスト応答を蓄積し、
    レジスターに、走査チェインの1つを唯一に識別する走査チェイン識別子をロードし、
    レジスター内で識別された走査チェイン内に蓄積されたテスト応答を除いて、走査チェイン内の全てのテスト応答がコンパクターに送られるか或は、レジスター内で識別された走査チェイン内に蓄積されたテスト応答のみがコンパクターに送られ且つ全ての他のテスト応答はコンパクターからマスクされるかのいずれかとなるように、選択器回路を、選択的に設定する、請求項11に記載の方法。
  20. 選択的に防止することは、全てのテスト応答をコンパクターに送ることを許すことを含む、請求項11に記載の方法。
  21. 集積回路のテスト応答を選択的に圧縮する装置であって、
    集積回路内の故障に関連する情報を含む複数のテスト応答を蓄積する手段と、
    テスト応答を選択的にマスクする選択手段と、
    選択手段により選択されたテスト応答を圧縮する手段とを有する装置。
  22. 選択的にマスクする手段は、全てのテスト応答を圧縮手段に送ることを許すか或は、マスクされたテスト応答が圧縮手段に送られるのを防ぐためにいずれの可変数のテスト応答をマスクするかの何れかの手段を有する、請求項21に記載の装置。
  23. 選択的にマスクする手段は、次の
    a)全てのテスト応答が圧縮されるか、又は、
    b)1つの識別されたテスト応答を除いて、全てのテスト応答が圧縮される、という動作のモードの間を選択する手段を含む、請求項21に記載の装置。
  24. 選択的にマスクする手段は、次の
    a)全てのテスト応答が圧縮されるか、又は、
    b)1つの識別されたテスト応答のみが圧縮される、
    という動作のモードの間を選択する手段を含む、請求項21に記載の装置。
  25. 複数のテスト応答を蓄積する手段は、予め定められたテストパターンを直列的に受信し、テストパターンを集積回路に与え、且つ、テスト応答を蓄積する走査チェイン手段を含む、請求項21に記載の装置。
  26. 選択的にマスクする手段は、走査チェイン識別子レジスターをデコードするデコード手段を含む、請求項21に記載の装置。
JP2001540386A 1999-11-23 2000-11-15 テスト応答を選択的に圧縮する方法及び装置 Expired - Fee Related JP4047584B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16713699P 1999-11-23 1999-11-23
US09/619,988 US6557129B1 (en) 1999-11-23 2000-07-20 Method and apparatus for selectively compacting test responses
PCT/US2000/031376 WO2001038889A1 (en) 1999-11-23 2000-11-15 Method and apparatus for selectively compacting test responses

Publications (2)

Publication Number Publication Date
JP2004500558A true JP2004500558A (ja) 2004-01-08
JP4047584B2 JP4047584B2 (ja) 2008-02-13

Family

ID=26862892

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001540386A Expired - Fee Related JP4047584B2 (ja) 1999-11-23 2000-11-15 テスト応答を選択的に圧縮する方法及び装置

Country Status (7)

Country Link
US (5) US6557129B1 (ja)
EP (3) EP1722246B1 (ja)
JP (1) JP4047584B2 (ja)
AT (2) ATE338280T1 (ja)
DE (2) DE60030480T2 (ja)
HK (2) HK1097600A1 (ja)
WO (1) WO2001038889A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7805649B2 (en) 1999-11-23 2010-09-28 Mentor Graphics Corporation Method and apparatus for selectively compacting test responses
US8533547B2 (en) 1999-11-23 2013-09-10 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US9134370B2 (en) 1999-11-23 2015-09-15 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US9664739B2 (en) 1999-11-23 2017-05-30 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573703B1 (en) * 1999-04-05 2003-06-03 Matsushita Electric Industrial Co., Ltd. Semiconductor device
JP3845016B2 (ja) * 1999-11-23 2006-11-15 メンター・グラフィクス・コーポレーション テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
US6874109B1 (en) * 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US6353842B1 (en) 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US6327687B1 (en) * 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US6748564B1 (en) * 2000-10-24 2004-06-08 Nptest, Llc Scan stream sequencing for testing integrated circuits
US7073143B1 (en) * 2000-11-06 2006-07-04 Cadence Design Systems, Inc. Solving constraint satisfiability problem for circuit designs
JP4228061B2 (ja) * 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
US7028239B2 (en) * 2000-12-29 2006-04-11 Intel Corporation Microprocessor on-chip testing architecture and implementation
US7103816B2 (en) * 2001-01-23 2006-09-05 Cadence Design Systems, Inc. Method and system for reducing test data volume in the testing of logic products
US6738939B2 (en) * 2001-05-21 2004-05-18 Intel Corporation Method and apparatus for fault tolerant and flexible test signature generator
US6950974B1 (en) * 2001-09-07 2005-09-27 Synopsys Inc. Efficient compression and application of deterministic patterns in a logic BIST architecture
US6877119B2 (en) * 2001-09-14 2005-04-05 Stmicroelectronics Limited Circuit scan output arrangement
JP2003121498A (ja) * 2001-10-09 2003-04-23 Sony Corp スキャンパス回路、集積回路及び集積回路の検査方法
DE10201554A1 (de) 2002-01-17 2003-08-21 Philips Intellectual Property Integrierter Schaltkreis mit Selbsttest-Schaltung
US6941498B2 (en) * 2002-03-07 2005-09-06 Agilent Technologies, Inc. Technique for debugging an integrated circuit having a parallel scan-chain architecture
US7185253B2 (en) * 2002-03-27 2007-02-27 Intel Corporation Compacting circuit responses
US7444567B2 (en) * 2002-04-09 2008-10-28 Syntest Technologies, Inc. Method and apparatus for unifying self-test with scan-test during prototype debug and production test
US6745359B2 (en) * 2002-06-06 2004-06-01 Logicvision, Inc. Method of masking corrupt bits during signature analysis and circuit for use therewith
JP4031954B2 (ja) * 2002-06-11 2008-01-09 富士通株式会社 集積回路の診断装置および診断方法
US7424658B1 (en) * 2002-07-01 2008-09-09 Altera Corporation Method and apparatus for testing integrated circuits
US7055076B2 (en) * 2002-08-28 2006-05-30 Micron Technology, Inc. Output data compression scheme using tri-state
US8506959B2 (en) 2002-11-01 2013-08-13 Neotope Biosciences Limited Prevention and treatment of synucleinopathic and amyloidogenic disease
US7240260B2 (en) 2002-12-11 2007-07-03 Intel Corporation Stimulus generation
US7058869B2 (en) * 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
US7302624B2 (en) * 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7437640B2 (en) * 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
ATE400845T1 (de) * 2003-02-13 2008-07-15 Mentor Graphics Corp Komprimieren von testantworten unter verwendung eines kompaktors
US7509550B2 (en) * 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7219280B2 (en) * 2003-02-24 2007-05-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Integrated circuit with test signal routing module
US7392442B2 (en) 2003-03-20 2008-06-24 Qualcomm Incorporated Built-in self-test (BIST) architecture having distributed interpretation and generalized command protocol
US7184915B2 (en) * 2003-03-20 2007-02-27 Qualcomm, Incorporated Tiered built-in self-test (BIST) architecture for testing distributed memory modules
US7404109B2 (en) * 2003-06-12 2008-07-22 Verigy (Singapore) Pte. Ltd. Systems and methods for adaptively compressing test data
US7032148B2 (en) * 2003-07-07 2006-04-18 Syntest Technologies, Inc. Mask network design for scan-based integrated circuits
DE10338922B4 (de) * 2003-08-20 2016-07-14 Infineon Technologies Ag Elektrische Diagnoseschaltung sowie Verfahren zum Testen und/oder zur Diagnose einer integrierten Schaltung
US7574640B2 (en) * 2003-09-05 2009-08-11 Intel Corporation Compacting circuit responses
US7523370B1 (en) 2003-09-15 2009-04-21 Cadence Design Systems, Inc. Channel masking during integrated circuit testing
WO2005031378A1 (en) * 2003-09-26 2005-04-07 Koninklijke Philips Electronics N.V. Method and system for selectively masking test responses
EP1536244B1 (en) * 2003-11-27 2010-01-13 Texas Instruments Inc. Dynamically configurable scan chain testing
US7219265B2 (en) * 2003-12-29 2007-05-15 Agere Systems Inc. System and method for debugging system-on-chips
KR20050078704A (ko) * 2004-01-31 2005-08-08 삼성전자주식회사 스캔 베이스 atpg 테스트회로, 테스트방법 및 스캔체인 재배열방법
US7093174B2 (en) * 2004-02-17 2006-08-15 Mentor Graphics Corporation Tester channel count reduction using observe logic and pattern generator
US8280687B2 (en) * 2004-03-31 2012-10-02 Mentor Graphics Corporation Direct fault diagnostics using per-pattern compactor signatures
US7729884B2 (en) * 2004-03-31 2010-06-01 Yu Huang Compactor independent direct diagnosis of test hardware
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis
JP2005308500A (ja) * 2004-04-20 2005-11-04 Nec Electronics Corp 半導体集積回路装置及びテスト方法
US7418640B2 (en) * 2004-05-28 2008-08-26 Synopsys, Inc. Dynamically reconfigurable shared scan-in test architecture
US7370249B2 (en) * 2004-06-22 2008-05-06 Intel Corporation Method and apparatus for testing a memory array
US7461312B2 (en) * 2004-07-22 2008-12-02 Microsoft Corporation Digital signature generation for hardware functional test
US7254760B2 (en) 2004-10-05 2007-08-07 Verigy (Singapore) Pte. Ltd. Methods and apparatus for providing scan patterns to an electronic device
US7222277B2 (en) * 2004-11-10 2007-05-22 Nec Laboratories America, Inc. Test output compaction using response shaper
JP2006153538A (ja) * 2004-11-26 2006-06-15 Fujitsu Ltd プロセッサ、そのエラー解析方法及びプログラム
US7395473B2 (en) * 2004-12-10 2008-07-01 Wu-Tung Cheng Removing the effects of unknown test values from compacted test responses
US7210083B2 (en) * 2004-12-16 2007-04-24 Lsi Logic Corporation System and method for implementing postponed quasi-masking test output compression in integrated circuit
US7487420B2 (en) * 2005-02-15 2009-02-03 Cadence Design Systems Inc. System and method for performing logic failure diagnosis using multiple input signature register output streams
US7610527B2 (en) * 2005-03-16 2009-10-27 Nec Laboratories America, Inc. Test output compaction with improved blocking of unknown values
US7231572B2 (en) * 2005-04-15 2007-06-12 Lsi Corporation Method and circuit for parametric testing of integrated circuits with an exclusive-or logic tree
US20060242508A1 (en) * 2005-04-26 2006-10-26 Texas Instruments Incorporation Simultaneous scan testing for identical modules
US7487419B2 (en) * 2005-06-15 2009-02-03 Nilanjan Mukherjee Reduced-pin-count-testing architectures for applying test patterns
US7376875B2 (en) * 2005-07-14 2008-05-20 International Business Machines Corporation Method of improving logical built-in self test (LBIST) AC fault isolations
DE102005046588B4 (de) * 2005-09-28 2016-09-22 Infineon Technologies Ag Vorrichtung und Verfahren zum Test und zur Diagnose digitaler Schaltungen
US7313746B2 (en) * 2005-09-30 2007-12-25 Nec Laboratories America, Inc. Test output compaction for responses with unknown values
US8161338B2 (en) * 2005-10-14 2012-04-17 Mentor Graphics Corporation Modular compaction of test responses
US7415678B2 (en) * 2005-11-15 2008-08-19 Synopsys, Inc. Method and apparatus for synthesis of multimode X-tolerant compressor
US7461309B2 (en) * 2005-12-20 2008-12-02 Kabushiki Kaisha Toshiba Systems and methods for providing output data in an LBIST system having a limited number of output ports
JP4839856B2 (ja) * 2006-01-23 2011-12-21 富士通株式会社 スキャンチェーン抽出プログラム、スキャンチェーン抽出方法及び試験装置
CN102129031B (zh) * 2006-02-17 2015-03-11 明导公司 多级测试响应压缩器
US7840862B2 (en) * 2006-02-17 2010-11-23 Mentor Graphics Corporation Enhanced diagnosis with limited failure cycles
JP5034576B2 (ja) * 2006-05-02 2012-09-26 富士通株式会社 半導体集積回路、テストデータ生成装置およびlsi試験装置
EP1852706B1 (en) * 2006-05-04 2009-10-28 STMicroelectronics S.r.l. Adaptive scan compression architecture
US7647540B2 (en) * 2006-07-21 2010-01-12 Janusz Rajski Decompressors for low power decompression of test patterns
US7797603B2 (en) * 2006-07-21 2010-09-14 Janusz Rajski Low power decompression of test cubes
US7788561B2 (en) * 2006-08-14 2010-08-31 Yu Huang Diagnosing mixed scan chain and system logic defects
US7779322B1 (en) 2006-09-14 2010-08-17 Syntest Technologies, Inc. Compacting test responses using X-driven compactor
DE102006059156B4 (de) * 2006-12-14 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Testen eines integrierten Schaltkreischips mit zumindest zwei Schaltungskernen sowie integrierter Schaltkreischip und Testsystem
DE102006059158B4 (de) * 2006-12-14 2009-06-10 Advanced Micro Devices, Inc., Sunnyvale Integrierter Schaltkreischip mit zumindest zwei Schaltungskernen und zugehöriges Verfahren zum Testen
US7793184B2 (en) * 2007-01-11 2010-09-07 International Business Machines Corporation Lowering power consumption during logic built-in self-testing (LBIST) via channel suppression
US8286040B2 (en) * 2007-02-09 2012-10-09 Freescale Semiconductor, Inc. Device and method for testing a circuit
JP5537158B2 (ja) 2007-02-12 2014-07-02 メンター グラフィックス コーポレイション 低消費電力スキャンテスト技術および装置
EP2135104B1 (en) * 2007-04-05 2010-10-20 Nxp B.V. Testable integrated circuit and test data generation method
US7814444B2 (en) * 2007-04-13 2010-10-12 Synopsys, Inc. Scan compression circuit and method of design therefor
US7949921B2 (en) * 2007-09-21 2011-05-24 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors
US7882409B2 (en) * 2007-09-21 2011-02-01 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors
DE102007046216B4 (de) * 2007-09-27 2018-01-18 Adc Automotive Distance Control Systems Gmbh Vorrichtung und Verfahren zur Verifikation eines digitalen Signalverarbeitungssystems
US7831876B2 (en) * 2007-10-23 2010-11-09 Lsi Corporation Testing a circuit with compressed scan chain subsets
US8086923B2 (en) * 2007-11-05 2011-12-27 Mentor Graphics Corporation Accurately identifying failing scan bits in compression environments
US8166359B2 (en) 2007-12-20 2012-04-24 Mentor Graphics Corporation Selective per-cycle masking of scan chains for system level test
US7818643B2 (en) * 2008-02-20 2010-10-19 Nec Laboratories America, Inc. Method for blocking unknown values in output response of scan test patterns for testing circuits
US8584073B2 (en) 2008-07-21 2013-11-12 Synopsys, Inc. Test design optimizer for configurable scan architectures
US8214172B2 (en) * 2008-11-11 2012-07-03 Nec Laboratories America, Inc. Systems and methods for locating defective components of a circuit
WO2010060012A1 (en) * 2008-11-23 2010-05-27 Mentor Graphics Corporation On-chip logic to support in-field or post-tape-out x-masking in bist designs
US8103925B2 (en) * 2008-11-24 2012-01-24 Mentor Graphics Corporation On-chip logic to support compressed X-masking for BIST
US8006152B2 (en) * 2009-01-12 2011-08-23 International Business Machines Corporation Scan chain fail diagnostics
US8214170B2 (en) 2009-01-15 2012-07-03 International Business Machines Corporation Test pattern compression
EP2548037B1 (en) 2010-03-16 2015-10-14 Mentor Graphics Corporation Test scheduling and test access in test compression environment
JP5601860B2 (ja) * 2010-03-26 2014-10-08 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US8887018B2 (en) 2010-06-11 2014-11-11 Texas Instruments Incorporated Masking circuit removing unknown bit from cell in scan chain
US8612814B1 (en) * 2010-06-14 2013-12-17 Altera Corporation Memory error detection circuitry
US8468404B1 (en) * 2010-06-25 2013-06-18 Cadence Design Systems, Inc. Method and system for reducing switching activity during scan-load operations
US8438437B2 (en) 2010-07-27 2013-05-07 Texas Instruments Incorporated Structures and control processes for efficient generation of different test clocking sequences, controls and other test signals in scan designs with multiple partitions, and devices, systems and processes of making
US8793549B2 (en) * 2010-08-11 2014-07-29 Lsi Corporation Low-cost design for register file testability
US9222978B2 (en) * 2011-03-09 2015-12-29 Mentor Graphics Corporation Two-dimensional scan architecture
US10345369B2 (en) 2012-10-02 2019-07-09 Synopsys, Inc. Augmented power-aware decompressor
US9329235B2 (en) * 2013-03-13 2016-05-03 Synopsys, Inc. Localizing fault flop in circuit by using modified test pattern
US9411014B2 (en) 2013-03-22 2016-08-09 Synopsys, Inc. Reordering or removal of test patterns for detecting faults in integrated circuit
US9239897B2 (en) 2013-04-03 2016-01-19 Synopsys, Inc. Hierarchical testing architecture using core circuit with pseudo-interfaces
US9417287B2 (en) * 2013-04-17 2016-08-16 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US9588179B2 (en) 2013-06-12 2017-03-07 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US10067187B2 (en) 2013-07-19 2018-09-04 Synopsys, Inc. Handling of undesirable distribution of unknown values in testing of circuit using automated test equipment
US9915702B2 (en) 2013-11-26 2018-03-13 Mentor Graphics Corporation Channel sharing for testing circuits having non-identical cores
US9244124B2 (en) * 2014-03-28 2016-01-26 International Business Machines Corporation Initializing and testing integrated circuits with selectable scan chains with exclusive-or outputs
US9599673B2 (en) 2014-10-15 2017-03-21 Freescale Semiconductor, Inc. Structural testing of integrated circuits
US9933485B2 (en) 2015-02-24 2018-04-03 Mentor Graphics Corporation Deterministic built-in self-test based on compressed test patterns stored on chip and their derivatives
US10380303B2 (en) 2015-11-30 2019-08-13 Synopsys, Inc. Power-aware dynamic encoding
US10578672B2 (en) * 2015-12-31 2020-03-03 Stmicroelectronics (Grenoble 2) Sas Method, device and article to test digital circuits
EP3756020B1 (en) 2018-03-22 2024-04-24 Siemens Industry Software Inc. Deterministic stellar built-in self-test
US11422188B2 (en) 2018-03-22 2022-08-23 Siemens Industry Software Inc Isometric control data generation for test compression
WO2019183297A1 (en) 2018-03-22 2019-09-26 Mentor Graphics Corporation Flexible isometric decompressor architecture for test compression
US10996273B2 (en) 2018-03-22 2021-05-04 Siemens Industry Software Inc. Test generation using testability-based guidance
US10379159B1 (en) * 2018-07-31 2019-08-13 International Business Machines Corporation Minimization of over-masking in an on product multiple input signature register (OPMISR)
US10371750B1 (en) * 2018-08-31 2019-08-06 International Business Machines Corporation Minimization of over-masking in an on product multiple input signature register (OPMISR)
US10371749B1 (en) 2018-08-31 2019-08-06 International Business Machines Corporation Removal of over-masking in an on product multiple input signature register (OPMISR) test
US10908213B1 (en) 2018-09-28 2021-02-02 Synopsys, Inc. Reducing X-masking effect for linear time compactors
US11422186B1 (en) * 2019-06-20 2022-08-23 Synopsys, Inc. Per-shift X-tolerant logic built-in self-test
CN114667455A (zh) 2019-09-06 2022-06-24 西门子工业软件有限公司 用于测试电路的通用压缩器架构
US11232246B2 (en) 2019-11-14 2022-01-25 Siemens Industry Software Inc. Layout-friendly test pattern decompressor
US11106848B2 (en) 2019-11-14 2021-08-31 Siemens Industry Software Inc. Diagnostic resolution enhancement with reversible scan chains
US11175338B2 (en) 2019-12-31 2021-11-16 Alibaba Group Holding Limited System and method for compacting test data in many-core processors
US11422185B2 (en) 2020-06-30 2022-08-23 Nxp Usa, Inc. System and method for testing critical components on system-on-chip
DE102020124515B3 (de) * 2020-09-21 2021-12-30 Infineon Technologies Ag Selbsttestschaltung für einen integrierten Schaltkreis und Verfahren zum Betreiben einer Selbsttestschaltung für einen integrierten Schaltkreis
US11320487B1 (en) * 2021-05-26 2022-05-03 Siemens Industry Software Inc. Programmable test compactor for improving defect determination
WO2023107096A1 (en) 2021-12-07 2023-06-15 Siemens Industry Software Inc. X-masking for in-system deterministic test
WO2024076370A1 (en) 2022-10-07 2024-04-11 Siemens Industry Software Inc. Multi-phase logic built-in self-test observation scan technology

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US519078A (en) * 1894-05-01 Martin middleton wilson
US713605A (en) 1899-11-13 1902-11-18 Gen Electric Transformer.
US3614400A (en) 1969-11-26 1971-10-19 Rca Corp Maximum length pulse sequence generators
US3700869A (en) 1970-12-04 1972-10-24 Nasa Pseudonoise sequence generators with three-tap linear feedback shift registers
US4024460A (en) * 1973-11-23 1977-05-17 Hewlett-Packard Company Electronic line stretcher
US4122390A (en) * 1976-10-28 1978-10-24 Gerhard Kollitz Apparatus for sensing and indicating the angular relationship between a towing and a towed vehicle
US4122399A (en) 1977-12-07 1978-10-24 Bell Telephone Laboratories, Incorporated Distortion generator
US4161041A (en) 1978-10-06 1979-07-10 The United States Of America As Represented By The Secretary Of The Air Force Pseudo random number generator apparatus
FR2451672A1 (fr) * 1979-03-15 1980-10-10 Nippon Electric Co Circuit logique integre pour l'execution de tests
US4320509A (en) * 1979-10-19 1982-03-16 Bell Telephone Laboratories, Incorporated LSI Circuit logic structure including data compression circuitry
US4513418A (en) 1982-11-08 1985-04-23 International Business Machines Corporation Simultaneous self-testing system
US4503537A (en) 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US5974433A (en) 1984-06-29 1999-10-26 Currie; Robert John High speed M-sequence generator and decoder circuit
US4602210A (en) 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US4785410A (en) 1985-06-05 1988-11-15 Clarion Co., Ltd. Maximum length shift register sequences generator
US4687988A (en) 1985-06-24 1987-08-18 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4801870A (en) 1985-06-24 1989-01-31 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4754215A (en) 1985-11-06 1988-06-28 Nec Corporation Self-diagnosable integrated circuit device capable of testing sequential circuit elements
JP2628154B2 (ja) * 1986-12-17 1997-07-09 富士通株式会社 半導体集積回路
DE3810291A1 (de) * 1987-03-30 1988-10-27 Toshiba Kawasaki Kk Sonnensensor
US4827476A (en) * 1987-04-16 1989-05-02 Tandem Computers Incorporated Scan test apparatus for digital systems having dynamic random access memory
US4860236A (en) 1987-10-26 1989-08-22 University Of Manitoba Cellular automaton for generating random data
US4974184A (en) 1988-05-05 1990-11-27 Honeywell Inc. Maximum length pseudo-random test pattern generator via feedback network modification
EP0350538B1 (en) 1988-07-13 1993-12-01 Koninklijke Philips Electronics N.V. Memory device containing a static RAM memory that is adapted for executing a self-test, and integrated circuit containing such a device as an embedded static RAM memory
US4959832A (en) 1988-12-09 1990-09-25 International Business Machines Parallel pseudorandom pattern generator with varying phase shift
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
JPH03214809A (ja) 1990-01-19 1991-09-20 Nec Corp リニアフィードバック・シフトレジスタ
US5138619A (en) 1990-02-15 1992-08-11 National Semiconductor Corporation Built-in self test for integrated circuit memory
US5268949A (en) 1990-03-28 1993-12-07 Ando Electric Co., Ltd. Circuit for generating M-sequence pseudo-random pattern
IL94115A (en) * 1990-04-18 1996-06-18 Ibm Israel Dynamic process for creating pseudo-random test templates for pompous hardware design violence
DE69114183T2 (de) * 1990-06-07 1996-05-30 Ibm System zur Reduzierung von Prüfdatenspeichern.
US5167034A (en) * 1990-06-18 1992-11-24 International Business Machines Corporation Data integrity for compaction devices
US5173906A (en) 1990-08-31 1992-12-22 Dreibelbis Jeffrey H Built-in self test for integrated circuits
DE69020155D1 (de) 1990-09-15 1995-07-20 Ibm Procede et appareil pour tester des circuits integres a grande integration.
US5258986A (en) 1990-09-19 1993-11-02 Vlsi Technology, Inc. Tightly coupled, low overhead RAM built-in self-test logic with particular applications for embedded memories
US5293123A (en) * 1990-10-19 1994-03-08 Tandem Computers Incorporated Pseudo-Random scan test apparatus
JP2584172B2 (ja) 1991-08-23 1997-02-19 インターナショナル・ビジネス・マシーンズ・コーポレイション デイジタル試験信号発生回路
US5369648A (en) 1991-11-08 1994-11-29 Ncr Corporation Built-in self-test circuit
EP0549949B1 (en) 1991-12-16 1998-03-11 Nippon Telegraph And Telephone Corporation Built-in self test circuit
US5412665A (en) 1992-01-10 1995-05-02 International Business Machines Corporation Parallel operation linear feedback shift register
US5349587A (en) * 1992-03-26 1994-09-20 Northern Telecom Limited Multiple clock rate test apparatus for testing digital systems
CA2064609C (en) * 1992-04-01 1996-10-29 Sydney Oliver Smith Explosive composition
US5394405A (en) 1992-04-24 1995-02-28 International Business Machines Corporation Universal weight generator
JP3474214B2 (ja) 1992-10-22 2003-12-08 株式会社東芝 論理回路及びこの論理回路を備えたテスト容易化回路
US5608870A (en) 1992-11-06 1997-03-04 The President And Fellows Of Harvard College System for combining a plurality of requests referencing a common target address into a single combined request having a single reference to the target address
US5701309A (en) 1992-12-02 1997-12-23 At&T Global Information Solutions Company Automated test equipment digital tester expansion apparatus
US5586125A (en) 1993-02-26 1996-12-17 Warner; William T. Method for generating test vectors for characterizing and verifying the operation of integrated circuits
DE69326681T2 (de) 1993-04-06 2000-02-10 Hewlett Packard Co Verfahren und Apparat zum Erzeugen von linearen Rückführungsschieberegistersequenzen
US5450414A (en) 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5416783A (en) * 1993-08-09 1995-05-16 Motorola, Inc. Method and apparatus for generating pseudorandom numbers or for performing data compression in a data processor
US5444716A (en) * 1993-08-30 1995-08-22 At&T Corp. Boundary-scan-based system and method for test and diagnosis
US5414716A (en) 1993-09-22 1995-05-09 Mitsubishi Electronic Research Laboratories, Inc. Weighting system for testing of circuits utilizing determination of undetected faults
US5848198A (en) 1993-10-08 1998-12-08 Penn; Alan Irvin Method of and apparatus for analyzing images and deriving binary image representations
US5524114A (en) * 1993-10-22 1996-06-04 Lsi Logic Corporation Method and apparatus for testing semiconductor devices at speed
US5617531A (en) 1993-11-02 1997-04-01 Motorola, Inc. Data Processor having a built-in internal self test controller for testing a plurality of memories internal to the data processor
JP3179646B2 (ja) * 1993-12-28 2001-06-25 日本電信電話株式会社 共有型試験レジスタおよびこれを用いた組み込み自己試験回路
US5631913A (en) 1994-02-09 1997-05-20 Matsushita Electric Industrial Co., Ltd. Test circuit and test method of integrated semiconductor device
US5694401A (en) 1994-06-27 1997-12-02 Tandem Computers Incorporated Fault isolation using pseudo-random scan
US6029263A (en) * 1994-06-30 2000-02-22 Tandem Computers Incorporated Interconnect testing using non-compatible scan architectures
US5642362A (en) * 1994-07-20 1997-06-24 International Business Machines Corporation Scan-based delay tests having enhanced test vector pattern generation
US5592493A (en) * 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US5748497A (en) 1994-10-31 1998-05-05 Texas Instruments Incorporated System and method for improving fault coverage of an electric circuit
US5533128A (en) 1995-01-18 1996-07-02 Vobach; Arnold Pseudo-random transposition cipher system and method
US5974179A (en) 1995-02-13 1999-10-26 Integrated Device Technology, Inc. Binary image data compression and decompression
JP3499034B2 (ja) 1995-03-13 2004-02-23 富士通株式会社 非対称信号検出回路
US5717702A (en) * 1995-03-14 1998-02-10 Hughes Electronics Scan testing digital logic with differing frequencies of system clock and test clock
US5761489A (en) * 1995-04-17 1998-06-02 Motorola Inc. Method and apparatus for scan testing with extended test vector storage in a multi-purpose memory system
US5553082A (en) * 1995-05-01 1996-09-03 International Business Machines Corporation Built-in self-test for logic circuitry at memory array output
US5574733A (en) 1995-07-25 1996-11-12 Intel Corporation Scan-based built-in self test (BIST) with automatic reseeding of pattern generator
US6006349A (en) 1995-07-26 1999-12-21 Advantest Corporation High speed pattern generating method and high speed pattern generator using the method
US5831992A (en) 1995-08-17 1998-11-03 Northern Telecom Limited Methods and apparatus for fault diagnosis in self-testable systems
FR2738972B1 (fr) * 1995-09-15 1997-11-28 Thomson Multimedia Sa Procede de mise en gage de donnees pour un protocole d'echange de donnees securise
US6055658A (en) * 1995-10-02 2000-04-25 International Business Machines Corporation Apparatus and method for testing high speed components using low speed test apparatus
US5680543A (en) * 1995-10-20 1997-10-21 Lucent Technologies Inc. Method and apparatus for built-in self-test with multiple clock circuits
US5614838A (en) * 1995-11-03 1997-03-25 International Business Machines Corporation Reduced power apparatus and method for testing high speed components
US5867507A (en) * 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
JP3512939B2 (ja) 1996-03-12 2004-03-31 株式会社ルネサステクノロジ 疑似乱数発生回路及び双方向シフトレジスタ
US5883926A (en) 1996-03-28 1999-03-16 Ub Networks, Inc. Method and apparatus for communicating data and clock signals
DE69613560T2 (de) 1996-04-30 2002-03-14 Agilent Technologies Inc Ein Prüfgerät für elektronische Schaltkreise oder Platinen mit komprimierten Datenfolgen
US5790562A (en) 1996-05-06 1998-08-04 General Motors Corporation Circuit with built-in test and method thereof
US5668817A (en) * 1996-07-11 1997-09-16 Northern Telecom Limited Self-testable digital signal processor and method for self-testing of integrating circuits including DSP data paths
JPH1056361A (ja) 1996-08-07 1998-02-24 Matsushita Electric Ind Co Ltd 疑似雑音系列発生器
US5717701A (en) * 1996-08-13 1998-02-10 International Business Machines Corporation Apparatus and method for testing interconnections between semiconductor devices
US5812561A (en) * 1996-09-03 1998-09-22 Motorola, Inc. Scan based testing of an integrated circuit for compliance with timing specifications
US5790626A (en) 1996-09-10 1998-08-04 Hewlett-Packard Company Bi-directional linear feedback shift register
US5991909A (en) 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
KR100206128B1 (ko) * 1996-10-21 1999-07-01 윤종용 선형 궤환 쉬프트레지스터, 다중 입력기호 레지스터 및 이들을 이용한 내장 자기 진단회로
US5694402A (en) 1996-10-22 1997-12-02 Texas Instruments Incorporated System and method for structurally testing integrated circuit devices
US5701308A (en) * 1996-10-29 1997-12-23 Lockheed Martin Corporation Fast bist architecture with flexible standard interface
US5905986A (en) 1997-01-07 1999-05-18 Hewlett-Packard Company Highly compressible representation of test pattern data
US5991898A (en) 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
US6199182B1 (en) * 1997-03-27 2001-03-06 Texas Instruments Incorporated Probeless testing of pad buffers on wafer
US5968194A (en) * 1997-03-31 1999-10-19 Intel Corporation Method for application of weighted random patterns to partial scan designs
US6026508A (en) 1997-04-22 2000-02-15 International Business Machines Corporation Storage sub-system compression and dataflow chip offering excellent data integrity
US6061818A (en) * 1997-05-08 2000-05-09 The Board Of Trustees Of The Leland Stanford Junior University Altering bit sequences to contain predetermined patterns
US6097889A (en) 1997-06-23 2000-08-01 Motorola, Inc. Signal processing apparatus with stages in a signal path operating as LFSR of alternable type and method for processing signals
US5883906A (en) * 1997-08-15 1999-03-16 Advantest Corp. Pattern data compression and decompression for semiconductor test system
KR19990018125A (ko) * 1997-08-26 1999-03-15 윤종용 Ic칩 검사용 테스터데이타 압축방법과 그 압축장치 및 ic칩용 테스터장치와 그 테스터방법
US5983380A (en) 1997-09-16 1999-11-09 International Business Machines Corporation Weighted random pattern built-in self-test
DE59813158D1 (de) 1997-09-18 2005-12-08 Infineon Technologies Ag Verfahren zum Testen einer elektronischen Schaltung
US6272653B1 (en) * 1997-11-14 2001-08-07 Intrinsity, Inc. Method and apparatus for built-in self-test of logic circuitry
US6198285B1 (en) 1997-11-28 2001-03-06 Hitachi Medical Corporation In-room MRI display terminal and remote control system
CA2226061C (en) * 1997-12-31 2002-05-28 Logicvision, Inc. Method and apparatus for controlling power level during bist
US6014763A (en) * 1998-01-15 2000-01-11 International Business Machines Corporation At-speed scan testing
US6148425A (en) 1998-02-12 2000-11-14 Lucent Technologies Inc. Bist architecture for detecting path-delay faults in a sequential circuit
US6158032A (en) * 1998-03-27 2000-12-05 International Business Machines Corporation Data processing system, circuit arrangement and program product including multi-path scan interface and methods thereof
US6141669A (en) 1998-05-06 2000-10-31 Nortel Networks Corporation Pseudorandom binary sequence block shifter
US6178532B1 (en) * 1998-06-11 2001-01-23 Micron Technology, Inc. On-chip circuit and method for testing memory devices
US6256759B1 (en) 1998-06-15 2001-07-03 Agere Systems Inc. Hybrid algorithm for test point selection for scan-based BIST
US6100716A (en) * 1998-09-17 2000-08-08 Nortel Networks Corporation Voltage excursion detection apparatus
US6256760B1 (en) * 1998-11-13 2001-07-03 Nortel Networks Limited Automatic test equipment scan test enhancement
US6286119B1 (en) * 1998-12-22 2001-09-04 Nortel Networks Limited Delay fault testing with IEEE 1149.1
US6240432B1 (en) 1998-12-28 2001-05-29 Vanguard International Semiconductor Corporation Enhanced random number generator
GB9900432D0 (en) 1999-01-08 1999-02-24 Xilinx Inc Linear feedback shift register in a progammable gate array
US6467058B1 (en) 1999-01-20 2002-10-15 Nec Usa, Inc. Segmented compaction with pruning and critical fault elimination
US6327685B1 (en) 1999-05-12 2001-12-04 International Business Machines Corporation Logic built-in self test
US6308290B1 (en) 1999-05-20 2001-10-23 International Business Machines Corporation Look ahead scan chain diagnostic method
US6590929B1 (en) * 1999-06-08 2003-07-08 International Business Machines Corporation Method and system for run-time logic verification of operations in digital systems
US6463560B1 (en) 1999-06-23 2002-10-08 Agere Systems Guardian Corp. Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits
US6385750B1 (en) * 1999-09-01 2002-05-07 Synopsys, Inc. Method and system for controlling test data volume in deterministic test pattern generation
US6694466B1 (en) 1999-10-27 2004-02-17 Agere Systems Inc. Method and system for improving the test quality for scan-based BIST using a general test application scheme
US6327687B1 (en) 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
JP3845016B2 (ja) * 1999-11-23 2006-11-15 メンター・グラフィクス・コーポレーション テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
US6684358B1 (en) 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US6557129B1 (en) * 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US6874109B1 (en) 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US6353842B1 (en) * 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US7493540B1 (en) 1999-11-23 2009-02-17 Jansuz Rajski Continuous application and decompression of test patterns to a circuit-under-test
DE60108993T2 (de) * 2000-03-09 2005-07-21 Texas Instruments Inc., Dallas Anpassung von "Scan-BIST"-Architekturen für einen Betrieb mit niedrigem Verbrauch
US6421794B1 (en) 2000-03-09 2002-07-16 John T. Chen Method and apparatus for diagnosing memory using self-testing circuits
US6611933B1 (en) * 2000-04-12 2003-08-26 International Business Machines Corporation Real-time decoder for scan test patterns
US6300885B1 (en) 2000-04-14 2001-10-09 International Business Machines Corporation Dual aldc decompressors inside printer asic
US6510398B1 (en) * 2000-06-22 2003-01-21 Intel Corporation Constrained signature-based test
US6618826B1 (en) 2000-10-26 2003-09-09 Cadence Design Systems, Inc. Test sequences generated by automatic test pattern generation and applicable to circuits with embedded multi-port RAMs
JP4228061B2 (ja) * 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
JP4278940B2 (ja) * 2002-09-09 2009-06-17 株式会社 液晶先端技術開発センター 結晶化装置および結晶化方法
US20060038485A1 (en) 2004-08-18 2006-02-23 Harvatek Corporation Laminated light-emitting diode display device and manufacturing method thereof

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7805649B2 (en) 1999-11-23 2010-09-28 Mentor Graphics Corporation Method and apparatus for selectively compacting test responses
US8108743B2 (en) 1999-11-23 2012-01-31 Mentor Graphics Corporation Method and apparatus for selectively compacting test responses
US8533547B2 (en) 1999-11-23 2013-09-10 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US9134370B2 (en) 1999-11-23 2015-09-15 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US9664739B2 (en) 1999-11-23 2017-05-30 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US10234506B2 (en) 1999-11-23 2019-03-19 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses

Also Published As

Publication number Publication date
US20030115521A1 (en) 2003-06-19
EP1722246A3 (en) 2006-11-29
WO2001038889A1 (en) 2001-05-31
EP1722246B1 (en) 2009-11-11
EP1256008B1 (en) 2006-08-30
JP4047584B2 (ja) 2008-02-13
EP2146212B1 (en) 2013-08-07
HK1049206A1 (en) 2003-05-02
DE60030480D1 (de) 2006-10-12
US20090228749A1 (en) 2009-09-10
US20050097419A1 (en) 2005-05-05
EP1256008A4 (en) 2005-01-12
US20110138242A1 (en) 2011-06-09
US6557129B1 (en) 2003-04-29
ATE338280T1 (de) 2006-09-15
US8108743B2 (en) 2012-01-31
EP1722246A2 (en) 2006-11-15
DE60030480T2 (de) 2007-06-06
HK1097600A1 (en) 2007-06-29
DE60043319D1 (de) 2009-12-24
HK1049206B (zh) 2007-06-08
US6829740B2 (en) 2004-12-07
US7805649B2 (en) 2010-09-28
US7500163B2 (en) 2009-03-03
ATE448485T1 (de) 2009-11-15
EP2146212A1 (en) 2010-01-20
EP1256008A1 (en) 2002-11-13

Similar Documents

Publication Publication Date Title
JP4047584B2 (ja) テスト応答を選択的に圧縮する方法及び装置
EP1595211B1 (en) Compressing test responses using a compactor
US6442723B1 (en) Logic built-in self test selective signature generation
JP2746804B2 (ja) 集積回路試験方法および集積回路試験装置
US10234506B2 (en) Continuous application and decompression of test patterns and selective compaction of test responses
US8533547B2 (en) Continuous application and decompression of test patterns and selective compaction of test responses
US7404126B2 (en) Scan tests tolerant to indeterminate states when employing signature analysis to analyze test outputs
US7493540B1 (en) Continuous application and decompression of test patterns to a circuit-under-test
Elm et al. BISD: Scan-based built-in self-diagnosis
JPH09508992A (ja) 集積回路試験装置及び試験法
Cheng et al. Compactor independent direct diagnosis
Shiao et al. A test-per-cycle BIST architecture with low area overhead and no storage requirement
Wohl et al. Increasing scan compression by using X-chains
US9134370B2 (en) Continuous application and decompression of test patterns and selective compaction of test responses
EP3105674B1 (en) Testing a feedback shift-register
Novák et al. Logic testing with test-per-clock pattern loading and improved diagnostic abilities
Lien et al. Accumulator-based output selection for test response compaction
Lai et al. On-chip test generation mechanism for scan-based two-pattern tests
Larsson Design for Test
Sun et al. Built-in self-diagnosis for scan-based VLSI
Bhusari Implementation of Test-per-Cycle BIST Architecture with No Storage Requirement

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20040415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050405

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050704

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051004

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060815

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061114

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071113

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101130

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4047584

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101130

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111130

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111130

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121130

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131130

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees