JP2004287400A - 検査方法とデバイス製造方法 - Google Patents

検査方法とデバイス製造方法 Download PDF

Info

Publication number
JP2004287400A
JP2004287400A JP2003408155A JP2003408155A JP2004287400A JP 2004287400 A JP2004287400 A JP 2004287400A JP 2003408155 A JP2003408155 A JP 2003408155A JP 2003408155 A JP2003408155 A JP 2003408155A JP 2004287400 A JP2004287400 A JP 2004287400A
Authority
JP
Japan
Prior art keywords
pattern
test pattern
substrate
reference patterns
inspection method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003408155A
Other languages
English (en)
Other versions
JP3972035B2 (ja
Inventor
Mircea Dusa
ドゥーサ マーシア
Boef Arie Jeffrey Den
ジェフリー デン ボーフ アリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2004287400A publication Critical patent/JP2004287400A/ja
Application granted granted Critical
Publication of JP3972035B2 publication Critical patent/JP3972035B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • G03F7/706Aberration measurement

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】精度、感度、再現性が改善されたリソグラフィ装置を用いて、デバイスを製造する間にインライン測定が可能な検査方法を得ること。
【解決手段】該検査方法は、リソグラフィ装置を使用して、互いに異なる第1と第2のパターン構成要素G1,G2を組み合わせたテストパターンを基板Wにプリントする段階と、リソグラフィ装置を使用して、前記第1と第2のテストパターン構成要素G1,G2に各々対応する第1と第2の基準パターンRG1,RG2を前記基板Wにプリントする段階と、スキャタロメータを使用して、前記テストパターンと前記第1及び第2の規準パターンとの第1、第2、第3の反射スペクトルS1,S2,S3を測定する段階と、前記第1、第2、第3の反射スペクトルから前記基板W上の前記テストパターンのパラメータを指示する情報を得る段階とを含んでいる。
【選択図】図4

Description

本発明は、リソグラフィ技術によるデバイス製造に使用される検査方法と、リソグラフィ技術を使用してデバイスを製造する方法とに関するものである。
リソグラフィ装置は、基板のターゲット区画に所望パターンを形成する装置である。リソグラフィ投影装置は、例えば集積回路(IC)の製造に使用することができる。その場合、パターニング素子、例えばマスクを使用して、ICの個別層に対応する回路パターンを発生させることができ、そのパターンは、放射線感受性材料層(レジスト)を有する基板(例えばシリコンウェーハ)上のターゲット区画(例えば1つ以上数個のダイの一部を含む)に結像させることができる。一般的に言って、単一ウェーハは、順次に露光される複数隣接ターゲット区画の全ネットワークを含んでいる。公知のリソグラフィ投影装置には、全パターンをターゲット区画上へ一括露光することで各ターゲット区画を照射するいわゆるステッパーと、投影ビームにより所定基準方向(「走査」方向)にパターンを走査すると同時に、基板を前記方向と平行または逆平行に走査することで各ターゲット区画を照射する、いわゆるスキャナーとを含んでいる。
リソグラフィ投影装置を使用する製造工程では、パターン(例えばマスク内の)が、少なくとも部分的に放射線感受性材料層(レジスト)で被覆された基板上に結像される。この結像段階の前に、基板には、種々の処理、例えばプライミング、レジスト被覆、ソフトベイク等が施される。露光後、基板には、他の処理、例えば露光後ベイク(PEB)、現像、ハードベイク、結像された形状特徴(features)の測定/検査等が行われる。この一連の処理は、例えばIC等のデバイスの個別層にパターン形成するための基礎として行われる。こうしてパターン形成された層は、次いで種々の処理、例えばエッチング、イオン注入(ドーピング)、金属化、酸化、化学・機械式研摩等の処理を受けるが、これらの処理は、すべて個別層を仕上げる意図のものである。数層を要する場合は、全処理、またはその変化形式を、各層で反復する必要がある。場合により、デバイスが基板(ウェーハ)上に配列される。これらのデバイスは、ダイシング又はソーイング等の技術で互いに分離され、次いで、個別のデバイスが、ピンに接続されたキャリア等に載せられる。これらの処理に関するこれ以上の情報は、例えばピーター・ヴァン・ザント著『マイクロチップの製造:半導体処理便覧』(Peter van Zant:A Practical Guide to Semiconductor Processing)(第三版、1997年マグロウヒル出版社刊、ISBN 0−07−067250−4)から得ることができ、該情報は、ここに引用することで本明細書に取り入れられる。
レジスト現像後の測定及び検査の段階は、通常のウェーハ製造工程内で行われるため、インラインと呼ばれるが、この段階は2つの目的を有している。第1は、現像されたレジスト内のパターンに欠陥があるターゲット区画を検出することである。かなりの数のダイに欠陥がある場合は、ウェーハは、パターン形成されたレジストを剥離して再露光され、欠陥パターンを例えばエッチング等の処理段階により、永久欠陥にならないように修正するのが望ましい。第2は、測定により、リソグラフィ装置内の誤差、例えば照明設定の誤差又は露光時間の誤差を検出し、修正して、次の露光を可能にすることである。しかし、リソグラフィ装置内に多くの誤差がある場合には、それらの誤差は、露光でプリントされたパターンからは、容易には検出又は定量化できない。また欠陥を検出しても、必ずしも直接にはその原因を明らかにはできない。このため、リソグラフィ装置内の誤差の検出と測定のために、種々のオフライン措置が知られている。該措置には、基板を測定デバイスに替えたり、特別なテストパターンを、例えば種々の異なる機械設定で露光することも含まれている。これらのオフライン技術は、しばしばかなりの時間を食い、その間、装置を露光に使用することができない。したがって、リソグラフィ装置内の誤差の検出及び測定には、インライン技術、すなわち製造時の露光を使用可能な技術か、又は露光と同時に実施できる技術が好ましい。
寸法誤差、例えばコマ収差によって発生するオーバーレイと左右の寸法差を測定するためには、影像に基づく工具、例えばオーバーレイ用のボックス・イン・ボックス(又はフレーム・イン・フレーム)が、またコマの場合の臨界寸法(CD)を測定するためには、走査電子顕微鏡(SEM)が、現在使用されている。これらの技術は、オフライン技術同様、投影系を、又は全ダイもしくは全ターゲット区画にわたる処理挙動を、必ずしも精密には反映しない局所的な測定しかできない欠点を有している。
線幅、ピッチ、臨界寸法(CD)の測定用に、デバイス製造で使用される一つのインライン技術は、「スキャタロメトリ」として知られるものである。スキャタロメトリの方法は、レイモンドほか著「光学スキャタロメトリを使用する多パラメータ格子計測法」(Multiparameter Grating Metrology Using Optical Scatterometry)(J.Vac.Sci.Tech.B,Vol.15,no.2,361〜368,1997)及びニウほか著「DUVリソグラフィにおける鏡面分光スキャタロメトリ」(Specular Spectroscopic Scatterometry in DUV Lithography)(SPIE,Vol.3677,1999)に記載されている。スキャタロメトリの場合、白色光が、現像されたレジストの断続的なパターンによって反射され、その結果生じる、所定角度での反射スペクトルが検出される。反射を引き起こす該パターンは、例えば精密結合波分析(RCWA)を用いて再構成されるか、又はシミュレーションにより得られたスペクトルのライブラリと比較することで再構成される。しかし、該パターンの再構成は、計算が極めて高度であり、かつまたこの技術は、感度が低く、再現性も乏しい。
リソグラフィにおけるスキャタロメトリの使用に関するこのほかの開示には、WO 02/065545が含まれるが、その場合、2つの重なる格子からスキャタロメトリ測定によりオーバーレイが測定される。このことは、他の格子と重なっていない格子の1つをサンプルとして利用可能な場合でも、2つの重なる格子でのオーバーレイ測定を強制されることを示唆している。また、US6,458,605及びUS2002/0041373では、スキャタロメトリ測定による情報を得る目的で、基準パターンの測定で得られた基準ライブラリが利用される。更に、US2002/0041373では、ウェーハ上の等しいテスト格子のランダムに分布する収束エネルギーマトリックス(FEM)をプリントすることが提案され、マトリックスの測定から、特にマトリックス内の異なる格子のスキャタロメトリ測定間の差から、情報が得られる。
本発明の目的は、リソグラフィ技術を利用してデバイスを製造する間に、インライン式に測定を行う検査方法、それも精度、感度、再現性のいずれか又はすべてが改善された検査方法を得ることである。
発明を解決するための手段
前記及びその他の目的は、本発明により、次の段階、すなわち
リソグラフィ装置を使用して、互いに異なる第1と第2のパターン構成要素を組み合わせたテストパターンを基板上にプリントする段階と、
リソグラフィ装置を使用して、前記第1と第2のパターン構成要素に各々対応する第1と第2の基準パターンを前記基板上にプリントする段階と、
スキャタロメータを使用して、前記テストパターンの第1、第2、第3の反射スペクトルと、前記第1及び第2の規準パターンの第1、第2、第3の反射スペクトルとを測定する段階と、
前記第1、第2、第3の反射スペクトルから前記基板上の前記テストパターンのパラメータを指示する情報を得る段階とを含む検査方法により達せられた。
この方法により、基板上にプリントされたテストパターンのパラメータを迅速、精密、再現可能に測定することができる。テストパターンおよび基準パターンは、製作物の露光工程で、例えばスクライブ・ライン、エッジ・ダイ、その他の使用されない基板区域にプリントでき、有意な付加的時間を要しない。複数反射スペクトルは、スキャタロメータにより、等しく迅速に、かつまた製造ラインの遅延なしに測定できる。本発明の測定方法は、したがって、定性又は較正の手段としてインラインで使用できる。
基準パターンを加えることで、単一テストパターンを使用するスキャタロメトリに比して感度が改善され、スキャタロメトリのデータから所望の情報を得る過程が簡単化される。幾つかの場合、基準パターンの再構成は、2構成要素のテストパターンの再構成より簡単で、最初に行われる。その場合、基準パターンの再構成の結果が、テストパターンの再構成に利用される。別の場合には、所望の情報が、異なるスペクトルを比較することで直接得られ、テストパターンの再構成を必要としない。
本発明の一好適実施例の場合、第1パターン構成要素は、第1プロセス層にプリントされた第1位置合わせマークを含み、第2パターン構成要素は、第1パターン構成要素にオーバーレイする第2プロセス層にプリントされた第2位置合わせマークを含んでいる。基準パターンは、対応基準位置合わせマーク含み、該マークは、第1プロセス層及び第2プロセス層各々にプリントされるが、オーバーレイはされない。この実施例により、基準位置合わせマークからのスキャタロメトリ信号を利用してオーバーレイの精密かつ高感度の測定が可能になり、それにより、オーバーレイ誤差の検出処理前に、テストパターンからのスキャタロメトリ信号が強化される。テストパターンからのスキャタロメトリ信号は、測定を要するオーバーレイ誤差に影響されるのと同様に、位置合わせマークの歪みに影響されるが、この歪みは、位置合わせマークを形成する処理段階、かつ位置合わせマークを有する層間のプロセス層の中間で、該プロセス層を介して行われる処理段階で生じるものである。基準パターンにより、これらの影響は、オーバーレイの影響から分離することができる。
本発明の別の好適実施例の場合、第1及び第2のパターン構成要素は、異なるピッチを有する単一バーの複数の格子を含み、該格子が、内側ピッチ及び外側ピッチを有する2バーの格子パターンを含むテストパターンと組み合わされている。第1及び第2の基準パターンは、各々、内側ピッチと等しいピッチを有する単一バーの格子と、外側ピッチに等しいピッチを有する単一のバー格子とを含んでいる。基準格子からのスキャタロメトリ信号は、2バーの格子の2構成要素の形状に関するする情報を内包し、コマを指示する非対称情報を、スキャタロメトリによるテストパターンの応答から分離することができる。
スキャタロメトリ段階は、現像されたレジストのパターン上で行うのが好ましいが、潜在的なレジスト影像の対照が十分な場合には、現像前に行ってもよい。収差は処理段階前に検出できるので、収差が欠陥デバイスを生むほど著しい場合には、レジストは除去して、基板を再転写工程に戻すことができる。
好ましくは、スキャタロメトリ段階は、垂直入射白色光によるスキャタロメータを使用して行う。
本発明の別の態様によれば、デバイス製造方法であって、
少なくとも部分的に放射線感受性材料層により覆われた基板を得る段階と、
放射系を使用して放射投影ビームを得る段階と、
パターニング素子を使用して、投影ビームの横断面にパターンを付与する段階と、
パターン付与された投影ビームを放射線感受性材料層のターゲット区画に投影する段階とを有する形式のものにおいて、
前記パターンが、プロセス層に相応するパターンと、互いに異なる第1及び第2のパターン構成要素の組み合わせを含むテストパターンと、前記第1及び第2のパターン構成要素に各々対応する基準パターンとを含み、かつまた
スキャタロメトリを使用して、前記テストパターンと、前記第1及び第2の基準パターンとの第1、第2、第3の反射スペクトルを測定する段階と、
前記基板上の前記テストパターンのパラメータを指示する情報を、前記第1、第2、第3の反射スペクトルから得る段階とを特徴としている。
好ましくは、テストパターンは、製品層のパターンに隣接する区域、例えばスクライブ・ラインにプリントされる。このようにして、基板上には不必要なスペースは無くなり、最大の区域がデバイス製造用に確保できる。
本発明のこの態様の一好適実施例では、パラメータを指示する前記情報は、リソグラフィ装置又は処理工程のパラメータを調節するのに使用され、その後で、別の基板が用意され、パターニング素子を使用しパターン付与されたビームを投影することで、投影ビームを得る段階が反復される。このようにして、基板上で行われたスキャタロメトリの成績を使用して、リソグラフィ装置又は処理工程を調節することで、後続の露光を改善することができる。
本明細書では、特に集積回路の製造にリソグラフィ装置を使用する場合について説明するが、ここで説明されるリソグラフィ装置は、他の用途、例えば集積光学系、磁区メモリ用の案内・検出パターン、液晶ディスプレー(LCDs)、薄膜磁気ヘッド等の製造にも使用できことが理解されよう。当業者には、そのような別の用途では、ここで用いられる「ウェーハ」又は「ダイ」の用語が、各々、より一般的な用語「基板」又は「ターゲット区画」と同義と考えられていることが分かるだろう。ここで言及される基板は、露光前又は露光後に、例えばトラック(通常、基板にレジスト層を被着させ、露光されたレジストを現像する用具)、計測用具、検査用具のいずれかで処理される。適用可能であれば、ここに開示したことは、前記及びその他の基板処理用具に適用してよい。更に、基板は、例えば多層IC製造のために、2回以上加工処理できるので、ここで用いる基板という用語は、すでに加工済みの多層を有する基板をも意味している。
ここで用いる「放射線」及び「ビーム」という用語は、紫外線(UV)(例えば波長365、248、193、157、126nmのいずれかを有するもの)、極端紫外線(EUV)(例えば波長域5〜20nmのもの)、粒子ビーム、例えばイオンビーム又は電子ビーム等、あらゆる種類の電磁放射線を包含するものである。
ここで使用する「パターニング素子」という用語は、基板のターゲット区画にパターンが形成されるように、投影ビーム横断面にパターンを付与するのに使用できる素子を指すものと広義に解釈されたい。注意すべき点は、投影ビームに付与されるパターンは、基板のターゲット区画に設けられる目標パターンに正確には対応しないでよい点である。概して、投影ビームに付与されるパターンは、ターゲット区画に形成されるデバイス、例えば集積回路の1つの特定機能層に対応する。
パターニング素子は、透過性又は反射性である。パターニング素子の例には、マスク、プログラム可能なミラー配列、プログラム可能なLCDパネルが含まれる。マスクは、リソグラフィにおいては周知であり、種々のマスク、例えばバイナリ型、交番位相シフト型、減衰位相シフト型などを含み、種々のハイブリッド型のマスクをも含んでいる。プログラム可能なミラー配列の一例では、小型ミラーの行列配置が採用されており、ミラーの各々は、個別に傾斜させて、入射ビームを異なる方向へ反射させることができる。このようにして、反射ビームにパターンが付与される。パターニング素子の各例では、支持構造物は、例えばフレーム又はテーブルであり、該フレーム又はテーブルは、要求に応じて固定的に又は可動に配置でき、かつパターニング素子が、例えば投影系に対し所望位置を占めるようにすることができる。また、ここでの用語「レチクル」又は「マスク」は、より一般的な用語「パターニング素子」と同義と考えてよい。
ここでの用語「投影系」は、種々の投影系、例えば屈折光学系、反射光学系、反射屈折光学系を含むものとして、また、例えば、使用される露光放射線又は、浸漬流体や真空等の他の要素にも適するものとして、広く解釈されたい。更に、ここでの用語「レンズ」も、より広義の用語「投影系」と同義と考えてよい。
照明系は、また放射投影ビームの指向、付形、制御いずれか用の屈折、反射、屈折反射各光学素子を含む種々の光学的な構成素子を包含するものであり、該素子は、また以下で集合的に又は単独で「レンズ」とも呼ばれる。
リソグラフィ装置は、2つ(2ステージ)以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有する種類のものである。このような「多ステージ」装置では、付加テーブルが並列的に使用されるか、又は準備段階が1つ以上のテーブルで行われる一方、1つ以上の他のテーブルが露光に使用される。
リソグラフィ装置は、また基板を比較的屈折率の高い液体、例えば水に浸漬することで、投影系の最終素子と基板との間の空間を満たす種類のものでもよい。浸漬液体は、またリソグラフィ装置の他の空間、例えばマスクと投影系の第1素子との間にも満たすことができる。浸漬技術は、技術上公知であり、該技術により投影系の開口数を増すことができる。
以下で、本発明の複数実施例を添付略示図を参照して説明するが、該実施例は、単なる実例に過ぎない。
図1は、本発明の一実施例によるリソグラフィ投影装置を略示したものである。該装置には、
放射投影ビーム(例えばUV放射線又はDUV放射線)PBを得るための照明系(照明器)ILと、
パターニング素子(例えばマスク)MAを支持し、かつ素子PLに対するパターニング素子の精密位置決め用の第1位置決め素子PMに接続された第1支持構造物(例えばマスクテーブル)MTと、
基板(例えばレジスト被覆ウェーハ)Wを支持し、かつ素子PLに対し基板を精密位置決めするための第2位置決め素子PWに接続された基板テーブル(例えばウェーハテーブル)WTと、
パターニング素子MAにより投影ビームPBに付与されたパターンを、基板Wのターゲット区画C(例えば1個以上のダイを含む)上に結像させるための投影系(例えば屈折投影レンズ)PLとが含まれている。
図示のように、該装置は透過型である(例えば透過性マスクを有している)。あるいはまた、該装置は、反射型(例えば既述の種類のプログラム可能なミラー配列を用いた)装置でもよい。
照明器ILは、放射線源SOから放射ビームを受け取る。線源とリソグラフィ装置とは、例えば線源がエキシマレーザの場合は、別個のユニットである。その場合、線源は、リソグラフィ装置の一部を形成するとは考えられておらず、放射ビームは、線源SOから、例えば適当な指向性ミラー及び/又はビームエキスパンダを含むビーム放出系BDを介して照明器ILへ達する。別の場合、例えば線源が水銀灯の場合、線源はリソグラフィ装置に統合された一部となる。線源SOと照明器ILとは、必要であれば、ビーム放出系BDと引っくるめて放射系と呼んでよい。
照明器ILは、ビームの角強度分布を調節するための調節素子AMを含んでいる。一般に、照明器の瞳平面内での角強度分布の少なくとも半径方向外方及び/又は内方の範囲(通常、各々σ外域及びσ内域と呼ばれる)は調節できる。加えて、照明器ILは、概して、種々の他の構成素子、例えば積分器INや集光レンズCOを含んでいる。照明器により、投影ビームPBと呼ばれる条件付けられた放射ビーム、すなわち横断面に所望の均一性と角強度分布とを有するビームが得られる。
投影ビームPBは、マスクテーブルMTに支持されたマスクMAに入射する。マスクMAを通過した投影ビームPBは、レンズPLを通過し、該レンズにより基板Wのターゲット区域Cに収束される。基板テーブルWTを、第2位置決め素子PWと位置センサIF(例えば干渉計デバイス)とにより精密に移動させることで、例えば、異なるターゲット区域CをビームPBの経路内に位置決めすることができる。同じように、マスクを、例えばマスク・ライブラリから機械式に回収した後に、又は走査中に、第1位置決め素子PMと別の位置センサ(図1には明示されていない)とを使用して、ビームPBの経路に対し精密にマスクMAを位置決めすることができる。概して、マスクテーブルMTと基板テーブルWTとの移動は、長行程モジュール(粗位置決め)と短行程モジュール(精密位置決め)とにより実現されるが、これらのモジュールは、位置決め素子PM,PWの一部を形成している。しかし、ステッパーの場合(スキャナーとは異なり)、マスクテーブルMTは、短行程アクチュエータにのみ接続されるか、又は固定される。マスクMAと基板Wとは、マスク位置合わせマークM1,M2と基板位置合わせマークP1,P2とを使用することで位置合わせされる。
図示の装置は、次の好適なモードで使用できる:
1. ステップモードでは、マスクテーブルMTと基板テーブルWTとが、事実上定置のまま、投影ビームに付与された全パターンが1つのターゲット区画Cに一括投影(すなわち単一の静止露光で)される。次いで、基板テーブルWTがX方向及び/又はY方向に変位され、それによって別の1つのターゲット区画Cが露光される。ステップモードでは、露光区域の最大寸法によって、単一の静止露光で結像されるターゲット区画の寸法が制限される。
2. 走査モードでは、マスクテーブルMTと基板テーブルWTとが同時に走査される一方、投影ビームに付与されたパターンが、ターゲット区画C(例えば単一の動的露光)に投影される。マスクテーブルMTに対する基板テーブルWTの速度と方向とは、投影系PLの倍率(縮尺)及び画像反転特性により決定される。走査モードでは、露光区域の寸法により、単一の動的露光でのターゲット区画の幅(非走査方向での)が制限される一方、走査運動の距離により、ターゲット区画の高さ(走査方向での)が制限される。
3. 別のモードの場合、プログラム可能なパターニング素子を支持するマスクテーブルMTは事実上定置のままで、基板テーブルWTのほうを移動させるか走査するかして、投影ビームに付与されたパターンがターゲット区画Cへ投影される。このモードでは、概して、パルス放射線源が用いられ、プログラム可能なパターニング素子が、基板テーブルWTの各移動後に、又は走査中の連続放射パルスの間に、要求どおりに更新される。この操作モードは、プログラム可能なパターニング素子、例えば既述の種類のプログラム可能なミラー配列を利用する無マスクのリソグラフィに、容易に適用できる。
前述の各モードの組み合わせ及び/又は変化形も使用できるが、全く異なるモードを使用することもできる。
図2は、本発明が一部をなしているリソグラフィ処理工程の流れ図である。図1に関連して既に説明したリソグラフィ装置を使用して行われる露光段階S4の前に、基板、例えばシリコンウェーハは、プライミング段階S1、レジスト層を被覆する回転コーティング段階S2、レジストから溶剤を除去するソフトベイク段階S3の各段階で処理を受ける。露光後、ウェーハは、検査段階S8の前に、露光後ベイク段階S5、(レジストが陽画用か陰画用かに応じて)露光レジスト又は非露光レジストが除去される現像段階S6、ハードベイク段階S7の各段階で処理を受ける。検査段階S8は、種々異なる測定及び検査を含み、かつまた以下で説明する、本発明によるスキャタロメトリ段階を含んでいる。ウェーハが検査段階を通過すると、処理段階S9が行われる。この段階は、レジスト被覆されていない基板区域のエッチング、製品層の被着、金属化、イオン注入等々を含んでいる。処理段階S9の後、残存レジストは、剥離段階S10で剥がされ、最終検査段階S11が実施された後、別の層のための処理工程が再開される。基板は、検査段階S8で不合格になると、直接に剥離段階S9が実施され、同じプロセス層をプリントする別の工程が試みられる。
検査段階S8では、図3に示すようなスキャタロメータが使用される。しかし、別の器具を用いて、別の検査及び/又は測定も行ってもよい。スキャタロメータ10は、ビームスプリッタ12を介してウェーハW上のテストパターンTSに放射線を向ける広帯域(白色光)放射線源11を含んでいる。反射された放射線は分光計13へ入射し、該分光計が、鏡面反射放射線のスペクトル(波長の関数である強度)を測定する。このデータから、例えば精密結合波分析及び非線形回帰により、又はシミュレーション・スペクトルのライブラリとの比較により、検出スペクトルを発生させたパターンを再構成する。概して、この再構成の場合、パターン全体の形状は既知であり、幾つかのパラメータは、該パターンを製造する処理工程の知識から推定され、スキャトロメトリ・データにより決定されるパターン・パラメータは僅かだけである。
図示のように、スキャタロメータは、垂直入射スキャタロメータである。しかし、同じ原理は、傾斜入射スキャタロメータを使用する場合にも適用できる。単一角度での、或る波長範囲の反射ではなく、むしろ単一波長の、或る角度範囲での反射が測定されるスキャトロメトリの変化形式を使用してもよい。
オーバーレイの測定に使用される本発明の第1方法によれば、基板W上にプリントされたテストパターンGは、上面のプロセス層TLにプリントされた第1マーク又は第1パターン構成要素G1と、底面のプロセス層BLにプリントされた第2マーク又は第2パターン構成要素G2とを含んでいる。マークG1,G2は、何らかの好都合な形状、例えば格子、チェッカー盤、ボックス、フレーム、山形等にすることができる。マークの形状を選択することで、再構成が容易になり、特に格子形状を使用することで、迅速な再構成技術が可能になる。マークの種類を選択することで、また感度も改善される。申し分なくプリントされ、後続工程の影響も無い場合には、2つのマークG1,G2は、等しくなり、かつオーバーレイ誤差も無く、正確に整合する。第1と第2のマーク(パターン構成要素)は、形状は等しいが、それらの位置は基板上のプロセス層により異なっている。マークG1,G2を含むテストパターンが、垂直に入射する偏光で照明された場合、反射されたTE,TM又は位相信号は、2つの格子の相対位置についての情報を含んでいる。しかし、格子G1,G2及び干渉を含む表面及び底面の層TL,BLの間の中間プロセス層IL内での内部反射のため、オーバーレイ情報を含む総反射信号の振幅は、極めて弱く、SN比の値が低い。その他のノイズは、プリント中にマークG1,G2に生じる歪みにより誘発され、底面の層のマークG2の場合、歪みはプリントのために行われる工程により誘発される。
本発明によれば、オーバーレイの測定を改善するため、2つの基準パターンRG1,RG2が、テストパターンの2つの構成要素G1,G2と同時にプリントされる。基準パターンRG1は、表面の層TLに設けられ、第1マークG1に対応する。基準パターンRG2は、底面の層BLに設けられ、第2マークG2に対応する。基準パターンRG1,RG2は、互いに近接し、かつマークG1,G2を含むテストパターンにも近接し、しかし互いに間隔をおいて、かつ該テストパターンからも間隔をおいてプリントされる。基準パターンRG1,RG2とテストパターンとは、プリント工程又は後続工程で生じる歪みに等しく影響されるように、互いに十分に近接していなければならない。同時に、クロストークなしにスキャタロメトリ測定が可能になるように、十分な間隔を有していなければならない。
基準パターンRG1,RG2は、テストパターンG1,G2と同じように照明された場合、各格子G1,G2の情報のみを内包するスキャタロメトリ信号S2,S3を発生させる。スキャタロメトリ信号S2,S3は、強化されたスキャタロメトリ信号S1−eを得るため、スキャタロメトリ信号S1を正規化するのに使用できる。その一例は、図7に示されており、この図から分かることは、強化された信号S1−eは、はるかに大きい振幅を有するが、原信号と等位相の位置特徴を保有している。SN比は、効果的に改善されている。強化されたオーバーレイ信号は、3つの鏡面分光信号から得られる。第1の信号は、2つのオーバーレイ格子により発生せしめられるオーバーレイ「生」信号である。第2と第3の信号は、底面と表面の基準パターンにより発生する信号である。その場合、強化オーバーレイ信号は、表面の基準パターンによる信号から、底面の基準パターンによる信号を差し引いた値で、オーバーレイ生信号を除すことで得られる。
図8〜図10には、本発明の第2の方法に使用される格子が示されている。本発明の第2の方法は、リソグラフィ装置、特に投影系PLのコマ収差、又は基板に施される処理工程に起因する差寸法非対称性を測定する。
図8から分かるように、テストパターンGは、内側ピッチPiと外側ピッチPoを有する2バーの格子を含んでいる。第1パターン構成要素は、内側ピッチPiに等しいピッチの単一バーの格子を含み、第2パターン構成要素は、外側ピッチPiに等しいピッチの単一バーの格子を含んでいる。図9と図10には、2つの基準パターンRG1',RG2'が示され、該基準パターンは、各々、ピッチPiの単一の格子と、ピッチPoの単一の格子とを含んでいる。
第1の方法の場合同様に、テストパターンGと基準パターンRG1',RG2'とは、鏡面偏光により同じように照明される。その結果得られる反射スペクトルS1',S2',S3'は、格子の実際の形状に関する情報同様、差寸法非対称性に関する情報をも含んでいる。差寸法非対称性についての情報は、2ピッチ格子からの反射スペクトルS1'に含まれている一方、スペクトルS2',S3'は、格子自体に関する情報を含んでいる。第1の方法の場合のように、反射スペクトルS2',S3'は、反射スペクトルS1'の強化に使用され、SN比が改善された寸法非対称性の情報を内包する信号が得られる。
以上、本発明の実施例を説明したが、本発明は、既述の説明とは別様に実施することもできることは言うまでもない。既述の説明は、本発明を制限する意図のものではない。
本発明による方法の実施に使用するリソグラフィ投影装置を示す図である。 本発明の一実施例によるリソグラフィ工程の流れ図である。 本発明の方法に使用可能なスキャタロメータを示す図である。 本発明の第1方法に使用するテストパターンと第1及び第2の基準パターンとを示す図である。 本発明の第1方法に使用するテストパターンと第1及び第2の基準パターンと示す図である。 本発明の第1方法に使用するテストパターンと第1及び第2の基準パターンとを示す図である。 オーバーレイ生信号と本発明の第1方法により強化されたオーバーレイ信号とを示す図である。 本発明の第2方法に使用するテストパターンと第1及び第2の基準パターンとを示す図である。 本発明の第2方法に使用するテストパターンと第1及び第2の基準パターンとを示す図である。 本発明の第2方法に使用するテストパターンと第1及び第2の基準パターンとを示す図である。
符号の説明
SO 線源
BD ビーム放出素子
IL 照明系
AM 調節装置
IN 積分器
CO 集光レンズ
PB 投影ビーム
MA マスク
MT マスクテーブル
M1,M2 マスク位置合わせマーク
PM 第1位置決め素子
PL 投影系(レンズ)
W 基板
WT 基板テーブル
PW 位置決め素子
IF 位置センサ
C ターゲット区画
P1,P2 基板位置合わせマーク
TS テストパターン
10 スキャタロメータ
11 線源
13 分光計
G1,G2 パターン構成要素
TL 表面の層
BL 底面の層
IL 中間層
S1,S2,S3 スキャタロメトリ信号
RG1,RG2 基準パターン

Claims (11)

  1. 検査方法において、該検査方法が、
    リソグラフィ装置を使用して、互いに異なる第1及び第2のパターン構成要素(G1,G2)を含むテストパターンを、基板(W)にプリントする段階と、
    リソグラフィ装置を使用して、前記第1及び第2のパターン構成要素(G1,G2)に各々対応する第1及び第2の基準パターン(RG1,RG2)を前記基板(W)にプリントする段階と、
    スキャタロメータを用いて、前記テストパターンと前記第1及び第2の基準パターンの第1、第2、第3の反射スペクトル(S1,S2,S3)とを測定する段階と、
    前記第1、第2、第3の反射スペクトル(S1,S2,S3)から、前記基板(W)上の前記テストパターンのパラメータを指示する情報を得る段階とを含む検査方法。
  2. 前記第1及び第2のテストパターン構成要素(G1,G2)が形状を異にしている、請求項1に記載された検査方法。
  3. 前記第1及び第2のテストパターン構成要素(G1,G2)が、前記基板(W)上に設けられた複数プロセス層(TL,BL)の間で位置を異にしている、請求項1に記載された検査方法。
  4. 前記テストパターン(G)が、第1及び第2のプロセス層(TL,BL)の互いの上面にプリントされた第1と第2の位置合わせマーク(G1,G2)を含み、かつまた前記第1及び第2の基準パターンが、対応する基準位置合わせマーク(RG1,RG2)を含み、該マークが、各々、第1及び第2のプロセス層(TL,BL)にプリントされるが、オーバーレイはしていない、請求項3に記載された検査方法。
  5. 前記テストパターン(G)が、内側ピッチ(Pi)及び外側ピッチ(Po)を有する2バー格子パターンを含み、かつまた前記第1及び第2の基準パターンが、各々、内側ピッチに等しいピッチを有する単一バーの格子と、外側ピッチに等しいピッチを有する単一バーの格子とを含む、請求項2に記載された検査方法。
  6. 前記スキャタロメータが垂直入射スキャタロメータである、請求項1から請求項5までのいずれか1項に記載された検査方法。
  7. 情報を得る前記段階が、前記第1及び第2の反射スペクトル(S1,S2)を用いて前記第1及び第2の基準パターン(RG1,RG2)を再構成し、かつまた再構成された基準パターンを使用して前記テストパターンを再構成する作業を含む、請求項1から請求項6までのいずれか1項に記載された検査方法。
  8. 情報を得る前記段階で、前記情報が、テストパターン(G1,G2)の再構成なしに、前記反射スペクトルから直接に得られる、請求項1から請求項6までのいずれか1項に記載された検査方法。
  9. デバイス製造方法であって、
    放射線感受性材料層により少なくとも部分的に覆われた基板(W)を得る段階と、
    放射系を用いて放射投影ビーム(PB)を得る段階と、
    パターニング素子(MA)を使用して該投影ビームの横断面にパターンを付与する段階と、
    パターン付与された投影ビームを、放射線感受性材料層のターゲット区域(C)に投影する段階とを含む形式のものにおいて、
    前記パターンが、プロセス層を表すパターンと、互いに異なる第1及び第2のパターン構成要素(G1,G2)の組み合わせを含むテストパターンと、各々前記第1及び第2のテストパターン構成要素に対応する第1及び第2の基準パターン(RG1,RG2)とを含み、
    スキャタロメータを用いて、前記テストパターン(G1,G2)と前記第1及び第2の基準パターン(RG1,RG2)との第1、第2、第3の反射スペクトル(S1,S2,S3)を測定する段階と、
    前記第1、第2、第3の反射スペクトルから、前記基板(W)上の前記テストパターン(G1,G2)のパラメータを指示する情報を得る段階とを含むことを特徴とする、デバイス製造方法。
  10. 前記テストパターン(G1,G2)が、製品層のパターンに隣接する区域、例えばスクライブ・ラインにプリントされる、請求項9に記載されたデバイス製造方法。
  11. パラメータを指示する前記情報が、リソグラフィ装置及び処理工程のパラメータを調節するために使用され、その後で別の基板(W)が得られ、かつ放射投影ビーム(PB)を得る段階が、パターニング素子(MA)の使用とパターン付与されたビームの投影とにより反復される、請求項9又は請求項10に記載されたデバイス製造方法。
JP2003408155A 2002-11-01 2003-10-31 検査方法とデバイス製造方法 Expired - Fee Related JP3972035B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP02257610 2002-11-01

Publications (2)

Publication Number Publication Date
JP2004287400A true JP2004287400A (ja) 2004-10-14
JP3972035B2 JP3972035B2 (ja) 2007-09-05

Family

ID=32524092

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003408155A Expired - Fee Related JP3972035B2 (ja) 2002-11-01 2003-10-31 検査方法とデバイス製造方法

Country Status (7)

Country Link
US (1) US7148959B2 (ja)
JP (1) JP3972035B2 (ja)
KR (1) KR100543534B1 (ja)
CN (1) CN100568100C (ja)
DE (1) DE60314484T2 (ja)
SG (1) SG120958A1 (ja)
TW (1) TWI227382B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
JP2008502126A (ja) * 2004-06-04 2008-01-24 カール・ツァイス・エスエムティー・アーゲー 光学結像系の像品質測定システム
JP2008166755A (ja) * 2006-12-19 2008-07-17 Asml Netherlands Bv 測定方法、検査装置、およびリソグラフィ装置
JP2008175809A (ja) * 2006-12-07 2008-07-31 Asml Netherlands Bv 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
JP2008270799A (ja) * 2007-04-17 2008-11-06 Asml Netherlands Bv 角度分解スキャトロメータおよび検査方法
JP2009038339A (ja) * 2007-05-09 2009-02-19 Asml Netherlands Bv 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
US8054467B2 (en) 2004-08-16 2011-11-08 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR20200086748A (ko) * 2017-12-07 2020-07-17 케이엘에이 코포레이션 디바이스-상관 오버레이 계측을 위한 시스템 및 방법

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7778721B2 (en) 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US7221993B2 (en) 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
TWI333233B (en) * 2004-06-10 2010-11-11 Applied Materials Inc Small lot size lithography bays
JP4295748B2 (ja) * 2004-06-21 2009-07-15 アプライド マテリアルズ イスラエル リミテッド 走査ビームアレイを使用する複数の重要領域を含む対象物を走査する方法
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7642037B2 (en) * 2004-08-27 2010-01-05 Searete, Llc Integrated circuit lithography
US7208331B2 (en) * 2004-09-24 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and structures for critical dimension and profile measurement
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7999940B2 (en) 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7643666B2 (en) 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8294907B2 (en) 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US20080148875A1 (en) * 2006-12-20 2008-06-26 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8004678B2 (en) * 2007-06-26 2011-08-23 Intel Corporation Wafer level alignment structures using subwavelength grating polarizers
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036098A1 (nl) * 2007-11-08 2009-05-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus lithographic, processing cell and device manufacturing method.
NL2004946A (en) * 2009-07-06 2011-01-10 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
KR101918251B1 (ko) * 2014-06-02 2018-11-13 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟들을 디자인하는 방법, 메트롤로지 타겟들을 갖는 기판들, 오버레이를 측정하는 방법, 및 디바이스 제조 방법
SG11201804232QA (en) 2015-12-21 2018-06-28 Asml Netherlands Bv Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3605230A1 (en) * 2018-08-01 2020-02-05 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US11360398B2 (en) * 2019-11-14 2022-06-14 Kla Corporation System and method for tilt calculation based on overlay metrology measurements
EP3945133A1 (en) 2020-07-29 2022-02-02 Georg-August-Universität Göttingen Mass production of human pluripotent stem cell derived cardiac stromal cell

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
IL139368A (en) * 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6458605B1 (en) * 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
TWI227814B (en) * 2002-09-20 2005-02-11 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
JP4845880B2 (ja) * 2004-06-04 2011-12-28 カール・ツァイス・エスエムティー・ゲーエムベーハー 光学結像系の像品質測定システム
JP2008502126A (ja) * 2004-06-04 2008-01-24 カール・ツァイス・エスエムティー・アーゲー 光学結像系の像品質測定システム
US10241055B2 (en) 2004-08-16 2019-03-26 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8553230B2 (en) 2004-08-16 2013-10-08 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US11525786B2 (en) 2004-08-16 2022-12-13 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8054467B2 (en) 2004-08-16 2011-11-08 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US10955353B2 (en) 2004-08-16 2021-03-23 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8111398B2 (en) 2004-08-16 2012-02-07 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US8760662B2 (en) 2004-08-16 2014-06-24 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP2008175809A (ja) * 2006-12-07 2008-07-31 Asml Netherlands Bv 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
JP2008166755A (ja) * 2006-12-19 2008-07-17 Asml Netherlands Bv 測定方法、検査装置、およびリソグラフィ装置
JP2008270799A (ja) * 2007-04-17 2008-11-06 Asml Netherlands Bv 角度分解スキャトロメータおよび検査方法
US8189195B2 (en) 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP2009038339A (ja) * 2007-05-09 2009-02-19 Asml Netherlands Bv 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
KR20200086748A (ko) * 2017-12-07 2020-07-17 케이엘에이 코포레이션 디바이스-상관 오버레이 계측을 위한 시스템 및 방법
KR102435141B1 (ko) * 2017-12-07 2022-08-22 케이엘에이 코포레이션 디바이스-상관 오버레이 계측을 위한 시스템 및 방법

Also Published As

Publication number Publication date
KR20040038885A (ko) 2004-05-08
KR100543534B1 (ko) 2006-01-20
JP3972035B2 (ja) 2007-09-05
CN100568100C (zh) 2009-12-09
CN1499293A (zh) 2004-05-26
SG120958A1 (en) 2006-04-26
TWI227382B (en) 2005-02-01
US7148959B2 (en) 2006-12-12
US20040119970A1 (en) 2004-06-24
DE60314484D1 (de) 2007-08-02
DE60314484T2 (de) 2008-02-21
TW200424787A (en) 2004-11-16

Similar Documents

Publication Publication Date Title
JP3972035B2 (ja) 検査方法とデバイス製造方法
JP4896092B2 (ja) 検査方法および装置、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
JP5100887B2 (ja) 基板のモデルを評価する方法
JP4787232B2 (ja) 測定方法、検査装置、およびリソグラフィ装置
JP4912241B2 (ja) インスペクション方法およびインスペクション装置、リソグラフィ装置、リソグラフィ処理セルならびにデバイス製造方法
JP4812712B2 (ja) 基板の特性を測定する方法及びデバイス測定方法
KR101037411B1 (ko) 메트롤로지 툴을 캘리브레이션하는데 사용하기 위한 기판을 형성하는 방법, 캘리브레이션 기판 및 메트롤로지 툴 캘리브레이션 방법
JP4980264B2 (ja) 検査方法、デバイス製造方法、検査装置、基板、マスク、リソグラフィ装置、及びリソグラフィセル
KR100592583B1 (ko) 검사방법 및 디바이스제조방법
KR101702376B1 (ko) 패턴 내에 위치된 타겟을 검출하는 리소그래피용 검사 장치
JP2008258606A (ja) スキャトロメータの非対称性を測定する方法、基板のオーバレイエラーを測定する方法および計測装置
SG175246A1 (en) Inspection method for lithography
JP2012526402A (ja) オーバーレイエラーを決定する方法
JP2008270799A (ja) 角度分解スキャトロメータおよび検査方法
JP2008042200A (ja) 角度分解分光リソグラフィの特徴付けのための方法および装置
US20110028004A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
US9304077B2 (en) Inspection apparatus and method
WO2020126266A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
NL2004803A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP1416327B1 (en) Inspection method and device manufacturing method
NL1036683A1 (nl) Focus sensor, inspection apparatus, lithographic apparatus and control system.

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060512

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060519

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061128

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070314

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070322

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070509

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070530

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070611

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100615

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110615

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110615

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120615

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130615

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees