JP2008166755A - 測定方法、検査装置、およびリソグラフィ装置 - Google Patents

測定方法、検査装置、およびリソグラフィ装置 Download PDF

Info

Publication number
JP2008166755A
JP2008166755A JP2007320546A JP2007320546A JP2008166755A JP 2008166755 A JP2008166755 A JP 2008166755A JP 2007320546 A JP2007320546 A JP 2007320546A JP 2007320546 A JP2007320546 A JP 2007320546A JP 2008166755 A JP2008166755 A JP 2008166755A
Authority
JP
Japan
Prior art keywords
substrate
radiation
patterns
pattern
periodic pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007320546A
Other languages
English (en)
Other versions
JP4787232B2 (ja
Inventor
Maurits Van Der Schaar
デル シャール,マウリッツ ヴァン
Boef Arie Jeffrey Den
ボーフ,アリー,ジェフリー デン
Everhardus Cornelis Mos
モス,エバーハーダス,コルネリス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2008166755A publication Critical patent/JP2008166755A/ja
Application granted granted Critical
Publication of JP4787232B2 publication Critical patent/JP4787232B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】オーバーレイエラーを検出するための改良された方法を提供する。
【解決手段】基板の第1の層は、周期性Pを有する複数の回折格子を含む。基板の第2の層は、第1のセットの回折格子と重なり合いかつ周期性NP(但しNは2より大きい整数である)を有する複数の回折格子を含む。第1のセットの回折格子は+dのバイアスを有し、第2のセットの回折格子は−dのバイアスを有する。放射ビームがこれらの回折格子に投影され、反射された放射の角度分解スペクトルが検出される。次に、オーバーレイエラーが、反射された放射の角度分解スペクトルを用いて計算される。
【選択図】図4b

Description

[0001] 本発明は、例えば、リソグラフィ技術によるデバイスの製造において使用可能な検査方法と、リソグラフィ技術を用いたデバイス製造方法に関する。
[0002] リソグラフィ装置は、所望のパターンを基板上、通常、基板のターゲット部分上に付与する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に用いることができる。その場合、ICの個々の層上に形成される回路パターンを生成するために、マスクまたはレチクルとも呼ばれるパターニングデバイスを用いることができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、ダイの一部、または1つ以上のダイを含む)に転写することができる。通常、パターンの転写は、基板上に設けられた放射感応性材料(レジスト)層上への結像によって行われる。一般には、単一の基板が、連続的にパターニングされる隣接したターゲット部分のネットワークを含んでいる。公知のリソグラフィ装置としては、ターゲット部分上にパターン全体を一度に露光することにより各ターゲット部分を照射する、いわゆるステッパ、および放射ビームによってある特定の方向(「スキャン」方向)にパターンをスキャンすると同時に、この方向に平行または逆平行に基板をスキャンすることにより各ターゲット部分を照射する、いわゆるスキャナが含まれる。パターンを基板上にインプリントすることにより、パターニングデバイスから基板にパターンを転写することも可能である。
[0003] リソグラフィプロセスをモニタリングするために、パターン付与された基板の1つ以上のパラメータ、例えば、基板内または基板上に形成された連続した層と層の間のオーバーレイエラーを、通常測定する。リソグラフィプロセスで形成される微視的構造体を測定するためには、走査型電子顕微鏡や様々な専用ツールの使用を含む、様々な技術がある。専用インスペクションツールの1つの形態がスキャトロメータであり、スキャトロメータでは、放射ビームが基板の表面上のターゲットへと誘導され、散乱または反射したビームの1つ以上の性質が測定される。ビームが基板によって反射または散乱される前後のビームの1つ以上の性質を比較することによって、基板の1つ以上の性質を求めることができる。これは、例えば、反射されたビームを、既知の基板性質と関連する既知の測定値のライブラリに保存されたデータと比較することによって行うことができる。2つの主なタイプのスキャトロメータが知られている。分光スキャトロメータは、広帯域放射ビームを基板上に誘導し、特定の狭い角度範囲に散乱した放射のスペクトル(波長の一機能としての強度)を測定する。角度分解スキャトロメータは、単色放射ビームを使用し、角度の一機能としての散乱放射の強度を測定する。エリプソメータは偏光状態を測定する。
[0004] ターゲットを照射し、反射した放射からデータを集める上記のようなシステムは、複数の重畳パターン、例えば、複数の回折格子で使用されることが多い。一実施形態において、第2の回折格子は、第1の回折格子に比較して特定のバイアスを有する。反射した放射の性質を分析することによって、回折格子と回折格子の間のオーバーレイエラーOVを求めることが可能である。これは、異なる層の回折格子と回折格子との間の既知のバイアス「d」を導入することによって達成できる。このようなアレンジおよびシステムは、米国特許出願第11/504,106号に記載されており、この文書は、本明細書において言及することにより、その全体が本明細書に組み込まれる。
[0005] 例えば、オーバーレイエラーを検出するための改良された方法を提供することが望ましい。
[0006] 本発明の一態様により、基板内のオーバーレイエラーを測定する方法であって、第1の層に配された第1周期パターンと、第2の層に配された、前記第1のパターンに対して既知のバイアス+dを有しかつ前記第1周期パターンの周期とは異なる周期を有する第2周期パターンとを含む前記基板上のターゲット上に放射ビームを投影すること、スキャトロメータを用いて前記基板から向きを変えられた放射を測定すること、および前記向きを変えられた放射から前記オーバーレイエラーの程度を求めることを含む、方法が提供される。
[0007] 本発明の他の態様により、基板の性質を測定する検査装置であって、前記基板に放射を投影する放射プロジェクタ、前記基板から向きを変えられた放射を検出するディテクタ、および少なくとも2つのパターンが異なる周期を有する複数の重なり合うパターンから向きを変えられた放射に基づいて、オーバーレイエラーを計算するデータ処理ユニット、を備える検査装置が提供される。
[0008] 本発明のいくつかの実施形態を、単なる例として、添付の概略図を参照して以下に説明する。これらの図面において同じ参照符号は対応する部分を示す。
[0015] 図1aは、リソグラフィ装置を概略的に示している。このリソグラフィ装置は、以下の構成要素を含む。
[0016] −放射ビームB(例えば紫外線またはEUV放射)を調整するように構成された照明システム(イルミネータ)IL。
[0017] −パターニングデバイス(例えば、マスク)MAを支持するように構成され、かつ特定のパラメータに従ってパターニングデバイスを正確に位置付けるように構成された第1ポジショナPMに連結されている、サポート構造(例えば、マスクテーブル)MT。
[0018] −基板(例えば、レジストコートウェーハ)Wを保持するように構成され、かつ特定のパラメータに従って基板を正確に位置付けるように構成された第2ポジショナPWに連結されている、基板テーブル(例えば、ウェーハテーブル)WT。
[0019] −パターニングデバイスMAによって放射ビームBに付けられたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成されている、投影システム(例えば、屈折投影レンズシステム)PL。
[0020] 照明システムとしては、放射を誘導し、整形し、かつ/または制御するために、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光コンポーネントを含むことができる。
[0021] サポート構造は、パターニングデバイスの配向、リソグラフィ装置の設計、および、パターニングデバイスが真空環境内で保持されているか否かなどの他の条件に応じた態様で、パターニングデバイスを保持する。サポート構造は、機械式、真空式、静電式またはその他のクランプ技術を使って、パターニングデバイスを保持することができる。サポート構造は、例えば、必要に応じて固定または可動式にすることができるフレームまたはテーブルであってもよい。サポート構造は、パターニングデバイスを、例えば、投影システムに対して所望の位置に確実に置くことができる。本明細書において使用される「レチクル」または「マスク」という用語はすべて、より一般的な「パターニングデバイス」という用語と同義であると考えるとよい。
[0022] 本明細書において使用される「パターニングデバイス」という用語は、基板のターゲット部分内にパターンを作り出すように、放射ビームの断面にパターンを与えるために使用できるあらゆるデバイスを指していると、広く解釈されるべきである。なお、留意すべき点として、放射ビームに付与されたパターンは、例えば、そのパターンが位相シフトフィーチャまたはいわゆるアシストフィーチャを含む場合、基板のターゲット部分内の所望のパターンに正確に一致しない場合もある。通常、放射ビームに付けたパターンは、集積回路などのターゲット部分内に作り出されるデバイス内の特定機能層に対応することになる。
[0023] パターニングデバイスは、透過型であっても、反射型であってもよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクは、リソグラフィでは公知であり、バイナリ、レゼンソン型(alternating)位相シフト、およびハーフトーン型(attenuated)位相シフトなどのマスク型、ならびに種々のハイブリッドマスク型を含む。プログラマブルミラーアレイの一例では、小型ミラーのマトリックス配列が用いられており、各小型ミラーは、入射する放射ビームを様々な方向に反射させるように、個別に傾斜させることができる。傾斜されたミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付ける。
[0024] 本明細書において使用される「投影システム」という用語は、使われている露光放射にとって、あるいは液浸液の使用または真空の使用といった他の要因にとって適切な、屈折型、反射型、反射屈折型、磁気型、電磁型、および静電型光学系、またはそれらのあらゆる組合せを含むあらゆる型の投影システムを包含していると広く解釈されるべきである。本明細書において使用される「投影レンズ」という用語はすべて、より一般的な「投影システム」という用語と同義であると考えるとよい。
[0025] 本明細書に示されているとおり、リソグラフィ装置は、透過型のもの(例えば、透過型マスクを採用しているもの)である。また、リソグラフィ装置は、反射型のもの(例えば、上述のプログラマブルミラーアレイを採用しているもの、または反射型マスクを採用しているもの)であってもよい。
[0026] リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル(および/または2つ以上のサポート構造)を有する型のものであってもよい。そのような「マルチステージ」機械においては、追加のテーブルおよび/またはサポート構造は並行して使うことができ、または予備工程を1つ以上のテーブルおよび/またはサポート構造上で実行しつつ、別の1つ以上のテーブルおよび/またはサポート構造を露光用に使うこともできる。
[0027] また、リソグラフィ装置は、投影システムと基板との間の空間を満たすように、比較的高屈折率を有する液体、例えば水、によって基板の少なくとも一部を覆うことができるタイプのものであってもよい。また、リソグラフィ装置内の別の空間、例えば、マスクと投影システムとの間、に液浸液を加えてもよい。液浸技術は、投影システムの開口数を増加させるための技術においてよく知られている。本明細書において使用される「液浸」という用語は、基板のような構造物を液体内に沈めなければならないという意味ではなく、単に、露光中、投影システムと基板との間に液体があるということを意味するものである。
[0028] 図1aを参照すると、イルミネータILは、放射源SOから放射を受ける。例えば、放射源がエキシマレーザである場合、放射源とリソグラフィ装置は、別個の構成要素であってもよい。そのような場合には、放射源は、リソグラフィ装置の一部を形成しているとはみなされず、また放射ビームは、放射源SOからイルミネータILへ、例えば、適切な誘導ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムBDを使って送られる。その他の場合においては、例えば、放射源が水銀ランプである場合、放射源は、リソグラフィ装置の一体部分とすることもできる。放射源SOおよびイルミネータILは、必要ならばビームデリバリシステムBDとともに、放射システムと呼んでもよい。
[0029] イルミネータILは、放射ビームの角度強度分布を調節するアジャスタADを含むことができる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、それぞれσ-outerおよびσ-innerと呼ばれる)を調節することができる。さらに、イルミネータILは、インテグレータINおよびコンデンサCOといったさまざまな他のコンポーネントを含むことができる。イルミネータを使って放射ビームを調整すれば、放射ビームの断面に所望の均一性および強度分布をもたせることができる。
[0030] 放射ビームBは、サポート構造(例えば、マスクテーブル)MT上に保持されているパターニングデバイス(例えば、マスク)MA上に入射して、パターニングデバイスによってパターン形成される。パターニングデバイスMAを通り抜けた後、放射ビームBは投影システムPLを通過し、投影システムPLは、基板Wのターゲット部分C上にビームの焦点をあわせる。第2ポジショナPWおよび位置センサIF(例えば、干渉計デバイス、リニアエンコーダ、2次元エンコーダまたは静電容量センサ)を使って、例えば、さまざまなターゲット部分Cを放射ビームBの経路内に位置付けるように、基板テーブルWTを正確に動かすことができる。同様に、第1ポジショナPMおよび別の位置センサ(図1aには明示的に示されていない)を使い、例えば、マスクライブラリからマスクを機械的に取り出した後またはスキャン中に、パターニングデバイスMAを放射ビームBの経路に対して正確に位置付けることもできる。通常、サポート構造MTの移動は、第1ポジショナPMの一部を形成するロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)を使って達成することができる。同様に、基板テーブルWTの移動も、第2ポジショナPWの一部を形成するロングストロークモジュールおよびショートストロークモジュールを使って達成することができる。ステッパの場合は(スキャナとは対照的に)、サポート構造MTは、ショートストロークアクチュエータのみに連結されてもよく、または固定されてもよい。パターニングデバイスMAおよび基板Wは、パターニングデバイスアライメントマークM1およびM2と、基板アライメントマークP1およびP2とを使って、位置合わせされてもよい。例示では基板アライメントマークが専用ターゲット部分を占めているが、基板アライメントマークをターゲット部分とターゲット部分との間の空間内に置くこともできる(これらは、スクライブラインアライメントマークとして公知である)。同様に、複数のダイがパターニングデバイスMA上に設けられている場合、パターニングデバイスアライメントマークは、ダイとダイの間に置かれてもよい。
[0031] 例示の装置は、以下に説明するモードのうち少なくとも1つのモードで使用できる。
[0032] 1. ステップモードにおいては、サポート構造MTおよび基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームに付けられたパターン全体を一度に(すなわち、単一静止露光)ターゲット部分C上に投影する。その後、基板テーブルWTは、Xおよび/またはY方向に移動され、それによって別のターゲット部分Cを露光することができる。ステップモードにおいては、露光フィールドの最大サイズによって、単一静止露光時に結像されるターゲット部分Cのサイズが限定される。
[0033] 2. スキャンモードにおいては、サポート構造MTおよび基板テーブルWTを同期的にスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。サポート構造MTに対する基板テーブルWTの速度および方向は、投影システムPLの(縮小)拡大率および像反転特性によって決めることができる。スキャンモードにおいては、露光フィールドの最大サイズよって、単一動的露光時のターゲット部分の幅(非スキャン方向)が限定される一方、スキャン動作の長さによって、ターゲット部分の高さ(スキャン方向)が決まる。
[0034] 3. 別のモードにおいては、プログラマブルパターニングデバイスを保持した状態で、サポート構造MTを基本的に静止状態に保ち、また基板テーブルWTを動かす、またはスキャンする一方で、放射ビームに付けられているパターンをターゲット部分C上に投影する。このモードにおいては、通常、パルス放射源が採用されており、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、またはスキャン中の連続する放射パルスと放射パルスとの間に、必要に応じて更新される。この動作モードは、前述の型のプログラマブルミラーアレイといったプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0035] 上述の使用モードの組合せおよび/またはバリエーション、あるいは完全に異なる使用モードもまた採用可能である。
[0036] 図1bに示されるように、リソグラフィ装置LAは、リソグラフィックセルLC(また場合により「リソセル」または「クラスタ」という)の一部を形成し、このリソグラフィックセルLCはまた、基板上で1回以上の露光前および露光後プロセスを行う装置も含む。従来、これらの装置は、レジスト層を堆積する1個以上のスピンコータSCと、露光されたレジストを現像する1個以上のデベロッパDEと、1枚以上の冷却プレートCHと、1枚以上のベークプレートBKとを含む。基板ハンドラまたはロボットROが、入出力ポートI/O1、I/O2から基板を摘み上げ、異なるプロセスデバイスの間で基板を移動させた後、リソグラフィ装置のローディングベイLBに届ける。これらのデバイス(多くの場合総称して「トラック」という)は、トラック制御ユニットTCUの制御下にあり、このトラック制御ユニットTCU自体は監視制御システムSCSによって制御され、この監視制御システムは、リソグラフィ制御部LACUによってリソグラフィ装置も制御している。よって、スループットと処理効率を最大限のものとするように、様々な装置を操作することができる。
[0037] リソグラフィ装置によって露光される基板が、正確にばらつきなく露光されるようにするためには、後続の層と層の間のオーバーレイエラー、ラインの厚さ、クリティカルディメンション(CD)などの1つ以上の性質を測定するために、露光された基板を検査することが望ましい。エラーが検出された場合は、1つ以上の後続の基板の露光を調整することができ、同じバッチの別の基板がまだ露光されないうちに十分に早くかつ迅速に検査できる場合には特に、露光調整できる。また、すでに露光された基板を剥ぎ取って、再加工する(歩留まりを高めるために)か、または廃棄することによって、欠陥があるとわかっている基板で露光を行うことを避けることができる。基板のターゲット部分の一部だけに欠陥がある場合には、欠陥がないターゲット部分だけでのみ、さらに露光を行うことができる。別の可能性としては、エラーを補正するために、後続の処理ステップの設定を適応させることであり、例えば、リソグラフィプロセスのステップから結果として生じる基板ごとのCDのバリエーションを補正するために、トリムエッチングステップの時間を調整することができる。
[0038] 基板の1つ以上の性質を求めるために、特に、異なる基板の1つ以上の性質、または同じ基板の異なる層の1つ以上の性質が、層ごとにおよび/または基板全域にわたっていかに変動するかを求めるために、検査装置を使用する。検査装置は、リソグラフィ装置LAまたはリソセルLCと一体化してもよいし、あるいは独立型のデバイスとしてもよい。最速の測定を可能にするためには、検査装置が、露光の直後に、露光されたレジスト層で1つ以上の性質を測定することが好ましい。しかし、レジストにおける潜像はコントラストが非常に低く、すなわち、放射に露光されたレジストの部分の屈折率と、露光されていないレジストの部分の屈折率では、非常に小さい差しかない。そして、すべての検査装置が、潜像の有用な測定を行うために十分な感度を有するわけではない。従って、露光された基板上で実行される慣例上第1のステップであり、かつレジストの露光された部分と露光されていない部分との間のコントラストを増す、露光後ベークステップ(PEB)の後で、測定を行ってもよい。この段階では、レジストの像は半潜像といってよい。また、現像したレジスト像を測定することも可能であり(この時点では、レジストの露光された部分または露光されていない部分のいずれかが除去されている)、またはエッチングなどのパターン転写ステップの後で測定を行うことも可能である。後者の可能性は、欠陥のある基板の再加工の可能性を制限するが、それでもなお、例えばプロセス制御のための情報などの有用な情報を提供することができる。
[0039] 図2は、本発明の一実施形態で使用することができるスキャトロメータSM1を示している。このスキャトロメータSM1は、基板Wに放射を投影する広帯域(白色光)放射プロジェクタ2を備える。反射した放射はスペクトロメータディテクタ4へと送られ、このスペクトロメータディテクタ4は、鏡面反射した放射のスペクトル10を測定する(すなわち、波長の一機能としての強度の測定)。処理装置PUは、上記のデータから、例えば、厳密結合波分析および非線形回帰によって、または図2の下部に示されるようなシミュレートしたスペクトルのライブラリと比較することによって、検出されたスペクトルをもたらす構造またはプロファイルを再構成することができる。一般に、再構成のためには、構造の一般形式が既知であり、かつその構造が形成されたプロセスの知識からいくつかのパラメータが想定され、構造パラメータのうちほんのわずかなパラメータだけが、スキャトロメトリデータから判断されることとなる。このようなスキャトロメータは、直入射スキャトロメータまたは斜入射スキャトロメータとして構成することができる。
[0040] 本発明の一実施形態で使用できる別のスキャトロメータSM2が、図3に示されている。このデバイスでは、放射源2から射出された放射は、レンズシステム12を用いて、干渉フィルタ13と偏光子17を通してフォーカスされ、部分反射面16によって反射されて、顕微鏡対物レンズ15を通して基板W上に合焦される。この顕微鏡対物レンズ15は、高い開口数(NA)を有し、その開口数は少なくとも0.9または少なくとも0.95であることが望ましい。 液浸スキャトロメータは、1より大きい開口数を有するレンズを備えることさえ可能である。次に、散乱スペクトルを検出するために、反射した放射は、部分反射面16を通してディテクタ18へと送られる。ディテクタは、レンズ15の焦点距離にある逆投影瞳面(back-projected pupil plane)11に位置付けられるが、その代わりに、補助光学部品(図示されていない)を用いてディテクタ18上に瞳面を再結像してもよい。瞳面とは、放射の半径方向位置が入射角を定義し、かつ角位置が放射の方位角を定義するところの面である。ディテクタは、基板ターゲットの2次元角度散乱スペクトルを測定(すなわち、散乱角の一機能としての強度の測定)できるように、2次元ディテクタであることが好ましい。ディテクタ18は、例えば、CCDまたはCMOSセンサのアレイであってもよく、また、例えば40ミリ秒/フレームの時間積分を有してもよい。
[0041] 基準ビームは、多くの場合、例えば入射放射の強度を測定するために使用される。この測定を行うためには、放射ビームが部分反射面16に入射した際に、その一部は、この部分反射面16を通して基準ミラー14へと送られる。その後、基準ビームは、同じディテクタ18の異なる部分に投影される。
[0042] 例えば405〜790nmの、またはさらにこれよりも低い範囲(200〜300nmなど)の検査対象の波長を選択するために、1個以上の干渉フィルタ13を利用することが可能である。この干渉フィルタは、1セットの異なるフィルタを備えるよりも、むしろ調節可能なものであってよい。干渉フィルタの代わりに、または干渉フィルタに加えて、回折格子を使用してもよい。
ディテクタ18は、1つの波長(または狭い波長範囲)における分散した光の強度を測定するものであって、複数の波長における強度を別々に、あるいはある波長範囲において統合された強度を測定することができる。さらにディテクタは、TM偏光およびTE偏光放射の強度、および/またはTM偏光放射とTE偏光放射との間の位相差を別々に測定することができる。
[0044] 広帯域放射源2(すなわち、広範囲の放射周波数または波長、従って広範囲の色を有する放射源)を使用することが可能であり、これによって大きいエタンデュ(Etendue)がもたらされ、多数の波長の混合が可能になる。広帯域の複数の波長はそれぞれ、λδの帯域幅を有し、少なくとも2λδの間隔(すなわち波長の2倍)を有することが好ましい。数個の放射「源」は、例えばファイバー束を用いて分割された拡張放射源の異なる複数の部分としてもよい。このようにして、並行した多数の波長で角度分解散乱スペクトルを測定できる。3次元スペクトル(波長と2つの異なる角度)を測定できるが、これは2次元スペクトルよりも多くの情報を含む。これによってより多くの情報を測定対象とすることができ、メトロロジプロセスのロバスト性を高める。このことは、米国特許出願第2006−0066855号公報により詳細に記載されており、この文書は、本明細書において言及することにより、その全体が本明細書に組み込まれる。
[0045] 基板W上のターゲットは、現像後にバーが立体レジストラインで形成されるようにプリントされた回折格子であってもよい。あるいは、これらのバーは基板にエッチングされてもよい。ターゲットパターンは、該当パラメータのバリエーションが、プリントされたターゲットにおけるバリエーションとして現れるように、リソグラフィ投影装置のフォーカス、ドーズ、オーバーレイ、色収差などの該当のパラメータに感応するように選択される。例えば、ターゲットパターンは、リソグラフィ投影装置(特に投影システムPL)内の色収差に感応するものであってよく、そこで照明対称および前記収差の存在は、プリントされたターゲットパターンにおけるバリエーションで明らかになる。よって、プリントされたターゲットパターンのスキャトロメトリデータは、ターゲットパターンを再構成するために使用される。ターゲットパターンのパラメータ(ライン幅や形状など)は、プリント工程および/またはその他のスキャトロメトリプロセスの知識から、再構成プロセスに入力され、処理装置によって実行されてもよい。
[0046] 図4aに示されるように、基板Wは、複数のパターン20、25を含むことができる。このパターンは、現像後に複数のバーが立体レジストラインで形成されるようにプリントされてもよく、あるいはリソグラフィ装置を用いて基板にエッチングされてもよい。あるいは、パターンは、基板にエッチングされるか、もしくは金属層に埋め込むかのいずれかによって、前段階で処理された層の中にあってもよい。重畳パターンは、通常、縦方向に分けられる。
[0047] 図4bは、図4aに描かれるパターンの拡大図である。リソグラフィプロセスの第1の露光ステップの間に、周期Pを有する第1のセットの回折格子21、26が基板にエッチングされる。リソグラフィプロセスの第2の露光ステップの間に、周期NP(但しNは2より大きい整数である)を有する第2のセットの回折格子22、27が基板にエッチングされる。図示されている実施形態では、「N=2」であるが、これに制限される必要はない。第2のセットの回折格子は、第1セットの回折格子から縦方向に(すなわち異なるプロセス層の基板表面に対して垂直に)分けられることが望ましい。第2のセットの回折格子のうちの第1の回折格子22は、第1のセットの回折格子のうちの第1の回折格子21と重なり合い、既知のオフセット+dを有する。よって、このセットアップでのオーバーレイの合計はX=OV+dである。第2のセットの回折格子のうちの第2の回折格子27は、第1のセットの回折格子のうちの第2の回折格子26と重なり合い、既知のオフセット−dを有する。よって、このセットアップでのオーバーレイの合計はX=OV-dである。従って、Xについては、以下の式によって非対称性が求められる。
については、以下の式によって非対称性が求められる。
ここでKはスケールファクタである。
[0048] スケールファクタは、オーバーレイエラーを求めるために以下のとおり取り除くことができる。
[0049] よって、オーバーレイエラー(通常は処理の異なる層と層の間のオーバーレイエラーを示す)は、角度分解散乱スペクトルを用いて計算することができる。実際、この計算で任意の回折次数を使用することができる。
[0050] 上述の例では、より長い周期の回折格子は、より短い周期の回折格子の上になっているが、同様に、図5aおよび図5bで示されるように、より短い周期の回折格子を、より長い周期の回折格子の上にすることができる。
[0051] 以上、1次元回折格子を参照して本発明の一実施形態を説明してきたが、同様に2次元回折格子を使用することもでき、従って、2方向のオーバーレイエラーを計算することもできる。
[0052] 本明細書において、IC製造におけるリソグラフィ装置の使用について具体的な言及がなされているが、本明細書記載のリソグラフィ装置が、集積光学システム、磁気ドメインメモリ用のガイダンスパターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造といった他の用途を有し得ることが理解されるべきである。当業者にとっては当然のことであるが、そのような別の用途においては、本明細書で使用される「ウェーハ」または「ダイ」という用語はすべて、それぞれより一般的な「基板」または「ターゲット部分」という用語と同義であるとみなしてよい。本明細書に記載した基板は、露光の前後を問わず、例えば、トラック(通常、基板にレジスト層を塗布し、かつ露光されたレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツールで処理されてもよい。適用可能な場合には、本明細書中の開示内容を上記のような基板プロセシングツールおよびその他の基板プロセシングツールに適用してもよい。さらに基板は、例えば、多層ICを作るために複数回処理されてもよいので、本明細書で使用される基板という用語は、すでに多重処理層を包含している基板を表すものとしてもよい。
[0053] 光リソグラフィの関連での本発明の実施形態の使用について上述のとおり具体的な言及がなされたが、当然のことながら、本発明は、他の用途、例えば、インプリントリソグラフィに使われてもよく、さらに状況が許すのであれば、光リソグラフィに限定されることはない。インプリントリソグラフィにおいては、パターニングデバイス内のトポグラフィによって、基板上に創出されるパターンが定義される。パターニングデバイスのトポグラフィは、基板に供給されたレジスト層の中にプレス加工され、基板上では、電磁放射、熱、圧力、またはそれらの組合せによってレジストは硬化される。パターニングデバイスは、レジストが硬化した後、レジスト内にパターンを残してレジストの外へ移動される。
[0054] 本明細書で使用される「放射」および「ビーム」という用語は、紫外線(UV)(例えば、365nm、355nm、248nm、193nm、157nm、または126nmの波長、またはその近辺の波長を有する)、および極端紫外線(EUV)(例えば、5〜20nmの範囲の波長を有する)、ならびにイオンビームや電子ビームなどの微粒子ビームを含むあらゆる種類の電磁放射を包含している。
[0055] 「レンズ」という用語は、文脈によっては、屈折、反射、磁気、電磁気、および静電型光コンポーネントを含む様々な種類の光コンポーネントのいずれか1つまたはこれらの組合せを指すことができる。
[0056] 以上、本発明の具体的な実施形態を説明してきたが、本発明は、上述以外の態様で実施できることが明らかである。例えば、本発明は、上記に開示した方法を表す1つ以上の機械読取可能命令のシーケンスを含むコンピュータプログラムの形態、またはこのようなコンピュータプログラムが記憶されたデータ記憶媒体(例えば、半導体メモリ、磁気ディスクまたは光ディスク)の形態であってもよい。
[0057] 上記の説明は、制限ではなく例示を意図したものである。したがって、当業者には明らかなように、添付の特許請求の範囲を逸脱することなく本記載の発明に変更を加えてもよい。
[0009] 図1aはリソグラフィ装置を示す。 [0010] 図1bはリソグラフィックセルまたはクラスタを示す。 [0011] 図2は第1のスキャトロメータを示す。 [0012] 図3は第2のスキャトロメータを示す。 [0013] 図4aは基板のパターンを示す。 [0013] 図4bは基板のパターンを示す。 [0014] 図5aは基板のパターンを示す。 [0014] 図5bは基板のパターンを示す。

Claims (21)

  1. 基板内のオーバーレイエラーを測定する方法であって、
    第1の層に配された第1周期パターンと、第2の層に配された、前記第1周期パターンに対して既知のバイアス+dを有しかつ前記第1周期パターンの周期とは異なる周期を有する第2周期パターンとを含む前記基板上のターゲット上に、放射ビームを投影すること、
    スキャトロメータを用いて前記基板から向きを変えられた放射を測定すること、および
    前記向きを変えられた放射から前記オーバーレイエラーの程度を求めること
    を含む、方法。
  2. 前記第1周期パターンが周期性Pを有し、前記第2周期パターンが周期性NP(但しNは2以上の整数)を有する、請求項1に記載の方法。
  3. 第1の層に配された第3周期パターンと、第2の層に配された、前記第3周期パターンに対して既知のバイアス−dを有しかつ前記第3周期パターンの周期とは異なる周期を有する第4周期パターンとを含む前記基板上の第2のターゲット上に放射ビームを投影すること、
    スキャトロメータを用いて前記ターゲットから向きを変えられた放射を測定すること、および
    前記向きを変えられた放射から前記オーバーレイエラーの程度を求めること
    をさらに含む、請求項1に記載の方法。
  4. 前記第3周期パターンが周期性Pを有し、前記第4周期パターンが周期性NP(但しNは2以上の整数)を有する、請求項3に記載の方法。
  5. 前記第1周期パターンが回折格子を含む、請求項1に記載の方法。
  6. 前記第2周期パターンが回折格子を含む、請求項5に記載の方法。
  7. 前記ビームが直線偏光されている、請求項1に記載の方法。
  8. 基板を製造する方法であって、
    第1の層に配された第1周期パターンと、第2の層に配された、前記第1周期パターンに対して既知のバイアス+dを有しかつ前記第1周期パターンの周期とは異なる周期パターンを有する第2周期パターンとを含む前記基板上のターゲット上に放射ビームを投影すること、
    スキャトロメータを用いて前記基板から向きを変えられた放射を測定すること、
    前記向きを変えられた放射からオーバーレイエラーの程度を求めること、および
    前記求めたオーバーレイエラーに基づいて、パターン付与された放射ビームを前記基板に投影し、前記基板を露光すること
    を含む、方法。
  9. 前記第1周期パターンが周期性Pを有し、前記第2周期パターンが周期性NP(但しNは2以上の整数)を有する、請求項8に記載の方法。
  10. 第1の層に配された第3周期パターンと、第2の層に配された、前記第3周期パターンに対して既知のバイアス−dを有しかつ前記第3周期パターンの周期とは異なる周期を有する第4周期パターンとを含む前記基板上の第2のターゲット上に放射ビームを投影すること、
    スキャトロメータを用いて前記ターゲットから向きを変えられた放射を測定すること、および
    前記向きを変えられた放射から前記オーバーレイエラーの程度を求めること
    をさらに含む、請求項8に記載の方法。
  11. 前記第3周期パターンが周期性Pを有し、前記第4周期パターンが周期性NP(但しNが2以上の整数)を有する、請求項10に記載の方法。
  12. 基板の性質を測定する検査装置であって、
    前記基板に放射を投影する放射プロジェクタ、
    前記基板から向きを変えられた放射を検出するディテクタ、および
    少なくとも2つのパターンが異なる周期を有する複数の重なり合うパターンからの向きを変えられた放射に基づいて、オーバーレイエラーを計算するデータ処理ユニット
    を含む、検査装置。
  13. 前記少なくとも2つのパターンのうちの一方のパターンが、前記少なくとも2つのパターンのうちの他方のパターンに対して既知バイアス+dを有する、請求項12に記載の検査装置。
  14. 前記データ処理ユニットが、少なくとも2つのパターンが異なる周期を有する更なる複数の重なり合うパターンから向きを変えられた放射に基づいて、前記オーバーレイエラーを計算するようにさらに構成され、前記更なる重なり合うパターンのうちの前記少なくとも2つのパターンのうちの一方のパターンが、前記追加の重なり合うパターンのうちの前記少なくとも2つのパターンのうちの他方のパターンに対して既知のバイアス−dを有する、請求項13に記載の検査装置。
  15. 前記少なくとも2つのパターンのうちの一方のパターンが周期性Pを有し、前記少なくとも2つのパターンのうちの他方のパターンが周期性NP(但しNは2以上の整数)を有する、請求項12に記載の検査装置。
  16. 前記データ処理ユニットが、少なくとも2つのパターンが異なる周期を有する更なる複数の重なり合うパターンから向きを変えられた放射に基づいて、前記オーバーレイエラーを計算するようにさらに構成されている、請求項12に記載の検査装置。
  17. リソグラフィ装置であって、
    放射ビームを調節するイルミネータ、
    前記放射ビームの断面にパターンを付与して、パターン付与された放射ビームを形成することのできるパターニングデバイスを支持するサポート、
    基板を保持する基板テーブル、
    前記基板のターゲット部分に前記パターン付与された放射ビームを投影する影システム、
    前記基板から向きを変えられた放射を検出するディテクタ、および
    少なくとも2つのパターンが異なる周期を有する複数の重なり合うパターンからの前記向きを変えられた放射に基づき、オーバーレイエラーを計算するデータ処理ユニット
    を含む、リソグラフィ装置。
  18. 前記少なくとも2つのパターンのうちの一方のパターンが、前記少なくとも2つのパターンのうちの他方のパターンに対して既知のバイアス+dを有する、請求項17に記載のリソグラフィ装置。
  19. 前記データ処理ユニットが、少なくとも2つのパターンが異なる周期を有する更なる複数の重なり合うパターンから向きを変えられた放射に基づいて、前記オーバーレイエラーを計算するようにさらに構成され、前記追加の重なり合うパターンのうちの前記少なくとも2つのパターンのうちの一方のパターンが、前記追加の重なり合うパターンのうちの前記少なくとも2つのパターンのうちの他方のパターンに対して既知のバイアス−dを有する、請求項18に記載のリソグラフィ装置。
  20. 前記少なくとも2つのパターンのうちの一方のパターンが周期性Pを有し、前記少なくとも2つのパターンのうちの他方のパターンが周期性NP(但しNは2以上の整数)を有する、請求項17に記載のリソグラフィ装置。
  21. 前記データ処理ユニットが、少なくとも2つのパターンが異なる周期を有する更なる複数の重なり合うパターンから向きを変えられた放射に基づいて、前記オーバーレイエラーを計算するようにさらに構成されている、請求項17に記載のリソグラフィ装置。
JP2007320546A 2006-12-19 2007-12-12 測定方法、検査装置、およびリソグラフィ装置 Expired - Fee Related JP4787232B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/641,124 US20080144036A1 (en) 2006-12-19 2006-12-19 Method of measurement, an inspection apparatus and a lithographic apparatus
US11/641,124 2006-12-19

Publications (2)

Publication Number Publication Date
JP2008166755A true JP2008166755A (ja) 2008-07-17
JP4787232B2 JP4787232B2 (ja) 2011-10-05

Family

ID=39526752

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007320546A Expired - Fee Related JP4787232B2 (ja) 2006-12-19 2007-12-12 測定方法、検査装置、およびリソグラフィ装置

Country Status (3)

Country Link
US (2) US20080144036A1 (ja)
JP (1) JP4787232B2 (ja)
IL (1) IL187797A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011040591A (ja) * 2009-08-12 2011-02-24 Nikon Corp 位置検査方法及び装置、露光方法及び装置、並びにインライン検査システム
JP2017151453A (ja) * 2011-09-01 2017-08-31 ケーエルエー−テンカー コーポレイション 問題のある高度プロセス制御パラメータの検出及び訂正のためのシステム及び方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
US9188875B2 (en) * 2008-12-16 2015-11-17 Asml Netherlands B.V. Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell
KR101602447B1 (ko) * 2009-03-27 2016-03-15 삼성전자주식회사 리얼 로우와 더미 로우를 포함하는 포토리소그래피용 정렬 마크
JP2010267931A (ja) * 2009-05-18 2010-11-25 Toshiba Corp パターン形成方法およびパターン設計方法
NL2005459A (en) 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
KR20120065802A (ko) 2010-12-13 2012-06-21 삼성전자주식회사 오버레이 계측 장치 및 그 방법
US9466100B2 (en) 2012-06-06 2016-10-11 Kla-Tencor Corporation Focus monitoring method using asymmetry embedded imaging target
KR102094974B1 (ko) * 2013-03-08 2020-03-30 삼성전자주식회사 오버레이 계측 방법
US9418819B2 (en) 2013-09-06 2016-08-16 Kla-Tencor Corporation Asymmetrical detector design and methodology
KR101890783B1 (ko) * 2013-11-26 2018-08-22 에이에스엠엘 네델란즈 비.브이. 리소그래피 계측을 위한 방법, 장치 및 기판
JP6421237B2 (ja) * 2014-08-29 2018-11-07 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法、ターゲット、及び基板
IL280370B1 (en) * 2014-08-29 2024-03-01 Asml Netherlands Bv Metrological method, purpose and substrate
CN107771271B (zh) 2015-04-21 2020-11-06 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统
KR102512180B1 (ko) * 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
WO2017102327A1 (en) * 2015-12-17 2017-06-22 Asml Netherlands B.V. Polarization tuning in scatterometry
KR102370347B1 (ko) 2017-02-02 2022-03-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치 및 연계된 컴퓨터 제품
EP3399371A1 (en) * 2017-05-05 2018-11-07 ASML Netherlands B.V. Method of measuring a parameter of interest, device manufacturing method, metrology apparatus, and lithographic system
KR102388682B1 (ko) 2017-09-28 2022-04-19 에이에스엠엘 홀딩 엔.브이. 계측 방법 및 디바이스
KR102544707B1 (ko) 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
US11796925B2 (en) * 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004287400A (ja) * 2002-11-01 2004-10-14 Asml Netherlands Bv 検査方法とデバイス製造方法
WO2004107415A1 (ja) * 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
JP2006060214A (ja) * 2004-08-16 2006-03-02 Asml Netherlands Bv 角度分解した分光リソグラフィの特徴付けの方法および装置
JP2006157023A (ja) * 2004-11-30 2006-06-15 Accent Optical Technologies Inc オーバレイマークを設計する方法
JP2006518942A (ja) * 2003-02-22 2006-08-17 ケーエルエー−テンカー テクノロジィース コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2006226994A (ja) * 2004-12-30 2006-08-31 Accent Optical Technologies Inc 特性シグナチャのマッチングによる光波散乱計測方法
JP2007527531A (ja) * 2004-02-18 2007-09-27 ケーエルエー−テンカー テクノロジィース コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
JP2008542790A (ja) * 2005-06-06 2008-11-27 ケーエルエー−テンカー テクノロジィース コーポレイション 対称および反対称スキャトロメトリ信号を用いたオーバレイおよびプロファイル非対称性の測定

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
JPS58108745A (ja) 1981-12-23 1983-06-28 Canon Inc 転写装置
US4672196A (en) 1984-02-02 1987-06-09 Canino Lawrence S Method and apparatus for measuring properties of thin materials using polarized light
US5218415A (en) 1988-05-31 1993-06-08 Canon Kabushiki Kaisha Device for optically detecting inclination of a surface
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
JPH06103252B2 (ja) 1989-05-04 1994-12-14 サーマ―ウェイブ・インク 高分解能エリプソメータ装置と方法
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5166752A (en) 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
GB9014263D0 (en) 1990-06-27 1990-08-15 Dixon Arthur E Apparatus and method for spatially- and spectrally- resolvedmeasurements
US5106196A (en) 1990-08-21 1992-04-21 Brierley Philip R Single adjustment specular reflection accessory for spectroscopy
IL100655A (en) 1991-02-08 1994-11-28 Hughes Aircraft Co Profile gauge for interferometric laser
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5747813A (en) 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US5412473A (en) 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5747713A (en) * 1993-09-07 1998-05-05 Clement; Andrew Tremolo
EP0787286A1 (en) 1994-10-21 1997-08-06 Therma-Wave Inc. Spectroscopic ellipsometer
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5541731A (en) 1995-04-28 1996-07-30 International Business Machines Corporation Interferometric measurement and alignment technique for laser scanners
US5713364A (en) 1995-08-01 1998-02-03 Medispectra, Inc. Spectral volume microprobe analysis of materials
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5877859A (en) 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5771094A (en) 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6122051A (en) 1998-06-04 2000-09-19 Raytheon Company Multi-slit spectrometer
DE19829278C1 (de) 1998-06-30 2000-02-03 Sirona Dental Systems Gmbh 3-D-Kamera zur Erfassung von Oberflächenstrukturen, insbesondere für zahnmedizinische Zwecke
JP4722244B2 (ja) 1998-07-14 2011-07-13 ノバ・メジャリング・インストルメンツ・リミテッド 所定のフォトリソグラフィ工程に従って基板を加工する装置
GB9818351D0 (en) 1998-08-22 1998-10-14 Malvern Instr Ltd Improvements relating to the measurement of particle size distribution
DE19859725C2 (de) 1998-12-23 2001-02-22 Stefan Dengler Vorrichtung zur Ermittlung von Verformungen an einer Objektoberfläche, insbesondere einer diffus streuenden Objektoberfläche und Verwendung der Vorichtung
AU5159600A (en) * 1999-05-28 2000-12-18 Hi-Tech Environmental Products, Llc. Synthetic thermoplastic compositions and articles made therefrom
KR100301067B1 (ko) 1999-08-23 2001-11-01 윤종용 마이크로 스크래치 검사방법 및 이를 적용한 장치
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6532076B1 (en) 2000-04-04 2003-03-11 Therma-Wave, Inc. Method and apparatus for multidomain data analysis
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
JP2004536440A (ja) 2000-08-11 2004-12-02 サーマ−ウェーブ・インコーポレイテッド 半導体ウェハ処理ツールへ組込まれた光学臨界寸法計測学システム
US6710876B1 (en) * 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6753961B1 (en) * 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US7115858B1 (en) 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US7099005B1 (en) 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6750968B2 (en) 2000-10-03 2004-06-15 Accent Optical Technologies, Inc. Differential numerical aperture methods and device
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6515744B2 (en) * 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
EP1370828B1 (en) * 2001-03-02 2016-11-23 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP2002334826A (ja) 2001-05-09 2002-11-22 Canon Inc 露光方法、面位置合わせ方法、露光装置及びデバイス製造方法
US6651825B2 (en) 2001-06-06 2003-11-25 Fresh Creek Technologies, Inc. Disposable net assemblies for apparatus for collecting floating debris
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6704661B1 (en) 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
AU2002337666A1 (en) 2001-08-03 2003-02-17 Joseph A. Izatt Aspects of basic oct engine technologies for high speed optical coherence tomography and light source and other improvements in oct
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
DE10146945A1 (de) 2001-09-24 2003-04-10 Zeiss Carl Jena Gmbh Meßanordnung und Meßverfahren
KR20050035153A (ko) 2001-10-10 2005-04-15 액센트 옵티칼 테크놀로지스 인코포레이티드 단면 분석법에 의한 초점 중심의 결정
US20030081216A1 (en) 2001-11-01 2003-05-01 Martin Ebert Graphical user interface for sample positioning
US6608690B2 (en) * 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US7365858B2 (en) 2001-12-18 2008-04-29 Massachusetts Institute Of Technology Systems and methods for phase measurements
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US7050162B2 (en) 2002-01-16 2006-05-23 Therma-Wave, Inc. Optical metrology tool having improved contrast
JP2003224057A (ja) 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
IL148484A (en) 2002-03-04 2008-11-26 Nova Measuring Instr Ltd Optical measurements of patterned structures
US7061627B2 (en) * 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6804005B2 (en) 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
IL149557A (en) 2002-05-09 2008-11-03 Nova Measuring Instr Ltd Optical system operating with variable angle of incidence
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7216045B2 (en) 2002-06-03 2007-05-08 Timbre Technologies, Inc. Selection of wavelengths for integrated circuit optical metrology
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
DE60319462T2 (de) * 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US6775015B2 (en) 2002-06-18 2004-08-10 Timbre Technologies, Inc. Optical metrology of single features
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US7330279B2 (en) 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US7399643B2 (en) 2002-09-12 2008-07-15 Cyvera Corporation Method and apparatus for aligning microbeads in order to interrogate the same
US20040066517A1 (en) 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
CN100337089C (zh) * 2002-09-20 2007-09-12 Asml荷兰有限公司 器件检验
EP1400855A3 (en) 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7427521B2 (en) 2002-10-17 2008-09-23 Timbre Technologies, Inc. Generating simulated diffraction signals for two-dimensional structures
US20040133362A1 (en) 2002-10-21 2004-07-08 Emit Systems Co. Method for significantly increasing computational speed, accuracy and versatility of electromagnetic reconstruction of shapes and composition of complex targets containing lossy materials
US7440105B2 (en) 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
WO2004053426A1 (en) 2002-12-05 2004-06-24 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7136143B2 (en) 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US6791679B2 (en) 2003-02-04 2004-09-14 Timbre Technologies, Inc. Adaptive correlation of pattern resist structures using optical metrology
US7630873B2 (en) 2003-02-26 2009-12-08 Tokyo Electron Limited Approximating eigensolutions for use in determining the profile of a structure formed on a semiconductor wafer
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US20040181768A1 (en) 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US6952261B2 (en) 2003-03-31 2005-10-04 Therma-Wave, Inc. System for performing ellipsometry using an auxiliary pump beam to reduce effective measurement spot size
US7233390B2 (en) 2003-03-31 2007-06-19 Therma-Wave, Inc. Scatterometry for samples with non-uniform edges
US7274472B2 (en) 2003-05-28 2007-09-25 Timbre Technologies, Inc. Resolution enhanced optical metrology
US7068363B2 (en) * 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
JP2007505322A (ja) 2003-09-12 2007-03-08 アクセント・オプティカル・テクノロジーズ・インコーポレイテッド 線プロファイル非対称性測定
US7089164B2 (en) * 2003-09-19 2006-08-08 Model Predictive Systems, Inc. Control of overlay registration
US7265850B2 (en) 2003-10-23 2007-09-04 International Business Machines Corporation Fortified, compensated and uncompensated process-sensitive scatterometry targets
JP4074867B2 (ja) * 2003-11-04 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 第1及び第2位置合せマークの相対位置を計測する方法及び装置
US7198873B2 (en) * 2003-11-18 2007-04-03 Asml Netherlands B.V. Lithographic processing optimization based on hypersampled correlations
DE60333688D1 (de) 2003-12-19 2010-09-16 Ibm Differentielle metrologie für kritische abmessung und überlagerung
US7215431B2 (en) 2004-03-04 2007-05-08 Therma-Wave, Inc. Systems and methods for immersion metrology
US7388677B2 (en) 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US7224456B1 (en) 2004-06-02 2007-05-29 Advanced Micro Devices, Inc. In-situ defect monitor and control system for immersion medium in immersion lithography
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7483133B2 (en) 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US20060285110A1 (en) 2005-02-25 2006-12-21 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004287400A (ja) * 2002-11-01 2004-10-14 Asml Netherlands Bv 検査方法とデバイス製造方法
JP2006518942A (ja) * 2003-02-22 2006-08-17 ケーエルエー−テンカー テクノロジィース コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
WO2004107415A1 (ja) * 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
JP2007527531A (ja) * 2004-02-18 2007-09-27 ケーエルエー−テンカー テクノロジィース コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
JP2006060214A (ja) * 2004-08-16 2006-03-02 Asml Netherlands Bv 角度分解した分光リソグラフィの特徴付けの方法および装置
JP2006157023A (ja) * 2004-11-30 2006-06-15 Accent Optical Technologies Inc オーバレイマークを設計する方法
JP2006226994A (ja) * 2004-12-30 2006-08-31 Accent Optical Technologies Inc 特性シグナチャのマッチングによる光波散乱計測方法
JP2008542790A (ja) * 2005-06-06 2008-11-27 ケーエルエー−テンカー テクノロジィース コーポレイション 対称および反対称スキャトロメトリ信号を用いたオーバレイおよびプロファイル非対称性の測定

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011040591A (ja) * 2009-08-12 2011-02-24 Nikon Corp 位置検査方法及び装置、露光方法及び装置、並びにインライン検査システム
JP2017151453A (ja) * 2011-09-01 2017-08-31 ケーエルエー−テンカー コーポレイション 問題のある高度プロセス制御パラメータの検出及び訂正のためのシステム及び方法
US10295993B2 (en) 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
JP2019113873A (ja) * 2011-09-01 2019-07-11 ケーエルエー−テンカー コーポレイション 問題のある高度プロセス制御パラメータの検出及び訂正のためのシステム

Also Published As

Publication number Publication date
US8111398B2 (en) 2012-02-07
JP4787232B2 (ja) 2011-10-05
US20100277706A1 (en) 2010-11-04
IL187797A (en) 2013-05-30
IL187797A0 (en) 2008-03-20
US20080144036A1 (en) 2008-06-19

Similar Documents

Publication Publication Date Title
JP4787232B2 (ja) 測定方法、検査装置、およびリソグラフィ装置
KR101129332B1 (ko) 검사 장치, 리소그래피 장치, 리소그래피 처리 셀 및 검사 방법
JP4778021B2 (ja) インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
KR101685041B1 (ko) 기판의 모델을 평가하는 방법, 검사 장치 및 리소그래피 장치
JP4672704B2 (ja) 基板のオーバーレイ誤差を測定する方法、基板製造方法、および検査装置
KR100930654B1 (ko) 측정 방법, 검사 장치 및 리소그래피 장치
JP4980264B2 (ja) 検査方法、デバイス製造方法、検査装置、基板、マスク、リソグラフィ装置、及びリソグラフィセル
KR101037411B1 (ko) 메트롤로지 툴을 캘리브레이션하는데 사용하기 위한 기판을 형성하는 방법, 캘리브레이션 기판 및 메트롤로지 툴 캘리브레이션 방법
JP4751411B2 (ja) オーバーレイを測定する方法
KR101714561B1 (ko) 패턴 내에 위치된 타겟을 검출하는 리소그래피용 검사 장치
KR101331107B1 (ko) 스캐터로미터 및 리소그래피 장치
US20090073448A1 (en) Method of measuring the overlay error, an inspection apparatus and a lithographic apparatus
US20110028004A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
KR20110110263A (ko) 특성을 결정하는 방법
US20100296072A1 (en) Inspection Apparatus, Lithographic Apparatus and Method of Measuring a Property of a Substrate
US20110020616A1 (en) Method of Determining Overlay Error and a Device Manufacturing Method
US20110102774A1 (en) Focus Sensor, Inspection Apparatus, Lithographic Apparatus and Control System

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110614

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110714

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140722

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees