KR102435141B1 - 디바이스-상관 오버레이 계측을 위한 시스템 및 방법 - Google Patents

디바이스-상관 오버레이 계측을 위한 시스템 및 방법 Download PDF

Info

Publication number
KR102435141B1
KR102435141B1 KR1020207019440A KR20207019440A KR102435141B1 KR 102435141 B1 KR102435141 B1 KR 102435141B1 KR 1020207019440 A KR1020207019440 A KR 1020207019440A KR 20207019440 A KR20207019440 A KR 20207019440A KR 102435141 B1 KR102435141 B1 KR 102435141B1
Authority
KR
South Korea
Prior art keywords
layer
pattern
features
overlay
additional
Prior art date
Application number
KR1020207019440A
Other languages
English (en)
Other versions
KR20200086748A (ko
Inventor
프랑크 라스케
울리히 폴만
스테판 아이링
나다브 구트만
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200086748A publication Critical patent/KR20200086748A/ko
Application granted granted Critical
Publication of KR102435141B1 publication Critical patent/KR102435141B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electromagnetism (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Polyamides (AREA)

Abstract

오버레이 계측 시스템은 샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 측정할 수 있다. 시스템은 적어도 디바이스 피처들의 패턴 및 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후, 제2 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 추가로 측정할 수 있다. 시스템은 제1 층 및 제2 층 상의 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 추가로 측정할 수 있다. 시스템은 또한 제1 층 패턴 배치 거리와 제2 층 패턴 배치 거리 사이의 차이로 기준 오버레이를 조정함으로써 디바이스-스케일 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정할 수 있다.

Description

디바이스-상관 오버레이 계측을 위한 시스템 및 방법
관련 출원에 대한 상호 참조
본 출원은 2017년 12월 7일자로 출원되고, Frank Laske, Ulrich Pohlmann, Stefan Eyring 및 Nadav Gutman를 발명자로 하는 고해상도 시스템을 사용하여 디바이스 오버레이를 측정하기 위한 특수 타겟 구조(SPECIAL TARGET STRUCTURES TO MEASURE DEVICE OVERLAY USING A HIGH RESOLUTION SYSTEM)라는 명칭의 미국 가출원 번호 제62/595,987호의 35 U.S.C. §119(e) 하의 이익을 주장하고, 이는 전체적으로 본 명세서에 참조로 포함된다.
기술 분야
본 개시는 일반적으로 오버레이 계측(overlay metrology)에 관한 것으로, 보다 구체적으로는 디바이스-상관(device-correlated) 오버레이 계측을 위한 오버레이 계측 타겟에 관한 것이다.
반도체 제조는 전형적으로 일부 또는 모든 층이 패터닝된 피처를 포함하는 구조 상에 다수의 층을 제조하는 것을 필요로 한다. 오버레이 계측은 제작된 디바이스의 성능에 중요하고 일반적으로 엄격한 공차(tolerance) 내에서 제어되어야 하는 샘플의 다양한 층에서 구조물의 상대적 위치를 측정하는 것이다. 예를 들어, 오버레이 계측은 제조 툴의 층별 정렬의 척도로서 상이한 샘플 층 상의 피처들의 상대적인 위치를 측정할 수 있다.
모든 디바이스 피처 레이아웃이 오버레이 측정을 지시 받아야 하는 것은 아니다. 또한, 오버레이 측정은 디바이스 피처의 성능을 손상시키거나 그렇지 않으면 영향을 줄 수 있다. 따라서, 오버레이 측정은 일반적으로 디바이스 피처에 대하여 직접 수행되는 것이 아니라 민감한 오버레이 측정을 위하여 설계된 피처를 갖는 전용 오버레이 타겟에 대하여 수행된다. 그러나, 디바이스 피처에 대한 오버레이 타겟의 샘플 상의 크기, 배향, 밀도 및/또는 위치의 차이는 타겟에서 측정된 오버레이와 디바이스 피처의 실제 오버레이 간에 불일치를 유발할 수 있다. 예를 들어, 오버레이 타겟의 상이한 층들 상의 피처는 일반적으로 공간적으로 분리되어 중첩을 피하고 매립된 층 상의 피처의 측정을 용이하게 한다. 그러나, 공간적으로 분리된 피처와 연관된 개방 영역은 마이크로 일렉트로닉스 제조와 호환되지 않을 수 있다. 또한, 디바이스 피처는 공간적으로 분리된 피처의 오버레이 측정이 측정 에러를 유발할 수 있도록 적층 구조를 일반적으로 포함한다.
따라서, 오버레이 타겟에 대한 디바이스-관련(device-relevant) 오버레이 측정을 보장하는 것은 오버레이 계측에서 지속적인 도전으로 남아 있다.
본 개시의 하나 이상의 예시적인 실시 예에 따라 오버레이 계측 시스템이 개시된다. 하나의 예시적인 실시 예에서, 시스템은 샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들의 패턴과 기준(reference) 피처들의 패턴 사이의 제1 층 패턴 배치 거리(pattern placement distance)를 측정하는 제어기를 포함한다. 다른 예시적인 실시 예에서, 제어기는, 적어도 디바이스 피처들의 패턴 및 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후, 제2 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정한다. 다른 예시적인 실시 예에서, 제어기는 제1 층 및 제2 층 상의 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이(reference overlay)를 측정하고, 제1 층 상의 기준 피처들의 패턴의 치수는 제2 층을 통하여 이미징 시스템에 의하여 분해 가능하다(resolvable). 다른 예시적인 실시 예에서, 제어기는 제1 층 패턴 배치 거리와 제2 층 패턴 배치 거리 사이의 차이로 기준 오버레이를 조정함으로써 디바이스-스케일 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정한다.
본 개시의 하나 이상의 예시적인 실시 예에 따라 오버레이 계측 시스템이 개시된다. 하나의 예시적인 실시 예에서, 시스템은 이미징 시스템을 포함한다. 다른 예시적인 실시 예에서, 시스템은 이미징 시스템에 통신 가능하게 결합된 제어기를 포함한다. 다른 예시적인 실시 예에서, 제어기는 제1 층을 제조한 후 샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 측정한다. 다른 예시적인 실시 예에서, 제어기는, 적어도 디바이스 피처들의 패턴 및 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후, 제2 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정한다. 다른 예시적인 실시 예에서, 제어기는 제1 층 및 제2 층 상의 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하고, 제1 층 상의 기준 피처들의 패턴의 치수는 제2 층을 통하여 이미징 시스템에 의하여 분해 가능하다. 다른 예시적인 실시 예에서, 제어기는 제1 층 패턴 배치 거리와 제2 층 패턴 배치 거리 사이의 차이로 기준 오버레이를 조정함으로써 디바이스-스케일 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정한다.
본 개시의 하나 이상의 예시적인 실시 예들에 따라 오버레이 계측 방법이 개시된다. 하나의 예시적인 실시 예에서, 방법은 적어도 디바이스 피처들의 패턴 및 기준 피처들의 패턴을 포함하는 샘플 상에 오버레이 타겟의 제1 층을 제조하는 단계를 포함한다. 다른 예시적인 실시 예에서, 방법은 제1 층을 제조한 후의 이미징 시스템으로, 제1 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 측정하는 단계를 포함한다. 다른 예시적인 실시 예에서, 방법은 적어도 디바이스 피처들의 패턴 및 기준 피처들의 패턴을 포함하는 샘플 상에 오버레이 타겟의 제2 층을 제조하는 단계를 포함한다. 다른 예시적인 실시 예에서, 방법은 제2 층을 제조한 후의 이미징 시스템으로, 제2 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정하는 단계를 포함한다. 다른 예시적인 실시 예에서, 방법은 오버레이 계측 툴를 사용하여, 제1 층 및 제2 층 상의 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하는 단계를 포함하고, 제1 층 상의 기준 피처들의 패턴의 치수는 제2 층을 통하여 오버레이 계측 툴에 의하여 분해 가능하다. 다른 예시적인 실시 예에서, 방법은 제1 층 패턴 배치 거리와 제2 층 패턴 배치 거리 사이의 차이로 기준 오버레이를 조정함으로써 디바이스-스케일 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하는 단계를 포함한다. 다른 예시적인 실시 예에서, 방법은 적어도 하나의 후속 노출의 노출 조건을 수정하기 위하여 리소그래피 시스템에 디바이스-관련 오버레이에 기초하여 오버레이 커렉터블(correctable)을 제공하는 단계를 포함한다.
전술한 일반적인 설명 및 다음의 상세한 설명은 단지 예시적이고 설명적인 것이며 청구된 본 발명을 반드시 제한하는 것은 아니라는 것이 이해되어야 한다. 본 명세서에 포함되어 본 명세서의 일부를 구성하는 첨부 도면은 본 발명의 실시 예를 도시하고 일반적인 설명과 함께 본 발명의 원리를 설명하는 역할을 한다.
본 개시의 많은 장점들은 첨부 도면들을 참조하여 당업자에 의하여 더 잘 이해될 수 있다:
도 1a는 본 개시의 하나 이상의 실시 예에 따른, 디바이스-상관 계측 측정에 적합한 오버레이 계측 시스템의 개념도이다.
도 1b는 본 개시의 하나 이상의 실시 예에 따른 입자 기반 오버레이 계측 툴의 개념도이다.
도 1c는 본 개시의 하나 이상의 실시 예에 따른 광학 오버레이 계측 툴의 개념도이다.
도 2a는 본 개시의 하나 이상의 실시 예에 따른, 디바이스-상관 오버레이 타겟의 평면도를 포함한다.
도 2b는 본 개시의 하나 이상의 실시 예에 따른, 디바이스-상관 오버레이 타겟의 프로파일 뷰를 포함한다.
도 2c는 본 개시의 하나 이상의 실시 예에 따라, 측정들을 스캐닝하기에 적합한 오버레이 타겟의 주기적으로 분포된(periodically distributed) 기준 피처들의 평면도이다.
도 3은 본 개시의 하나 이상의 실시 예에 따른 복합 디바이스-상관 오버레이 타겟의 개념도이다.
도 4는 본 개시의 하나 이상의 실시 예에 따라 디바이스-상관 오버레이를 측정하기 위한 방법에서 수행되는 단계들을 예시하는 흐름도이다.
이제, 첨부된 도면에 도시된 개시된 주제(subject matter)를 상세하게 참조할 것이다. 본 개시는 특정 실시 예 및 그 특정 피처에 관해 특히 도시되고 설명되었다. 본 명세서에 제시된 실시 예는 제한적인 것이 아니라 예시적인 것으로 간주된다. 본 개시의 사상 및 범위를 벗어나지 않으면서 형태 및 세부 사항에 대한 다양한 변경 및 수정이 이루어질 수 있다는 것이 당업자에게 명백해야 한다.
본 개시의 실시 예는 층내(intra-layer) 및 층간(inter-layer) 측정의 조합에 기초하여 적층된 디바이스-스케일 피처를 갖는 오버레이 타겟을 사용하여 디바이스-상관 오버레이를 측정하기 위한 시스템 및 방법에 관한 것이다. 예를 들어, 오버레이 타겟은 디바이스-상관 오버레이 측정이 디바이스-스케일 피처의 상대적인 위치에 기초할 수 있도록 다수의 층 상에 적층된 디바이스-스케일 피처를 포함할 수 있다. 그러나, 적층된 디바이스-스케일 피처의 상대적인 위치를 직접 측정하는 것은 비현실적이거나 바람직하지 않을 수 있다.
본 명세서에서 반도체 디바이스는 기판 상에 패터닝된 재료의 다수의 인쇄 층(printed layer)으로서 형성될 수 있음을 인식한다. 각각의 인쇄 층은 하나 이상의 재료 증착 단계, 하나 이상의 리소그래피 단계 또는 하나 이상의 에칭 단계와 같은(이에 한정되지 않음) 일련의 공정 단계를 통하여 제조될 수 있다. 또한, 각 인쇄 층은 최종 디바이스를 적절하게 구성하기 위하여 특정 공차 내에서 제조되어야 한다. 예를 들어, 선폭, 측벽 각도, 및 각 층에서의 인쇄된 요소(element)의 상대적 배치와 같은(이에 한정되지 않음) 인쇄 특성은 잘 특성화되고 제어되어야 한다. 따라서, 제조 공정의 효율적인 특성화(characterization)를 가능하게 하기 위하여 계측 타겟이 하나 이상의 인쇄 층 상에 제조될 수 있다. 이와 관련하여, 인쇄 층 상의 계측 타겟의 인쇄 특성의 편차는 반도체 디바이스의 일부를 형성하는 디바이스 피처를 포함하는 층 상의 모든 인쇄된 요소의 인쇄 특성의 편차를 나타낼 수 있다.
본 명세서에서 다양한 오버레이 계측 툴이 오버레이를 측정하는데 사용될 수 있음을 인식한다. 예를 들어, 광학 계측 툴(예를 들어, 조명 및/또는 검출을 위하여 전자기 방사선을 사용하는 광 기반 계측 툴)은 이미지의 다수의 층에서 공간적으로 분리된 피처의 상대적인 위치를 결정하는 것, 다수의 층 상의 패턴 배치 에러(pattern placement error, PPE)를 직접 측정하는 것 또는 오버레이가 다수의 층의 회절 격자로부터 산란 및/또는 회절된 광을 기반으로 결정되는 스캐터로메트리와 같은(이에 한정되지 않음) 수많은 기법을 사용하여 고 스루풋 오버레이 측정을 제공할 수 있다. 본 개시의 목적을 위하여, 용어 "광학 계측 툴", "광학 계측 기법" 등은 x-선 파장, EUV(extreme ultraviolet) 파장, VUV(vacuum ultraviolet) 파장, DUV(deep ultraviolet) 파장, UV(ultraviolet) 파장, 가시 파장 또는 IR(infrared) 파장과 같은(이에 한정되지는 않음) 임의의 파장의 전자기 방사선을 이용하는 계측 툴 및 기법을 나타낸다. 그러나, 광학 계측 툴의 해상도 한계는 일반적으로 디바이스-스케일 피처들보다 더 큰 피처 크기를 필요로 하며, 이는 조명 공급원(source)의 파장에 따라 광학 계측 측정과 관심 있는 디바이스 피처 상의 실제 오버레이 사이에 계통 오차(systematic error)를 야기할 수 있다. 다른 예로서, 주사 전자 현미경(scanning electron microscope, SEM) 계측 툴(예를 들어, 임계 치수 SEM(critical dimension SEM, CD-SEM) 등) 또는 집속 이온 빔(focused ion beam, FIB) 계측 툴과 같은(이에 한정되지는 않음) 입자 기반 계측 툴은 디바이스-스케일의 피처를 분해할 수 있다. 또한, 입자-빔 계측 툴은 입자 침투 깊이에 기초하여 다수의 샘플 층 상의 피처를 동시에 측정하는 제한된 능력을 가질 수 있다. 예를 들어, 저에너지 입자 빔은 상부 층(예를 들어, 현재 층)을 특성화하는 데 사용될 수 있는 반면, 비교적 고에너지 입자 빔은 샘플로 더 깊이 침투하여 이전에 제조된 층 상의 피처를 특성화할 수 있다. 그러나, 많은 입자 기반 계측 툴은 광학 계측 툴보다 스루풋이 상대적으로 낮으며 측정 중에 하나 이상의 층에 잠재적으로 손상을 유발할 수 있다. 오버레이 측정을 위한 시스템 및 방법은 일반적으로 2012년 12월 11일 발행된 "오버레이 마크, 오버레이 마크 설계 방법 및 오버레이 측정 방법(OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS)"이라는 명칭의 미국 특허 번호 제8,330,281호, 2016년 10월 25일에 발행된 "2 개의 층 사이의 오정렬을 제어하기 위한 주기적인 패턴 및 기법(PERIODIC PATTERNS AND TECHNIQUE TO CONTROL MISALIGNMENT BETWEEN TWO LAYERS)"이라는 명칭의 미국 특허 번호 제9,476,698호, 2009년 월 2일에 발행된 "회전 또는 거울 대칭을 가진 구조의 오버레이를 결정하기 위한 장치 및 방법(APPARATUS AND METHODS FOR DETERMINING OVERLAY OF STRUCTURES HAVING ROTATIONAL OR MIRROR SYMMETRY)"이라는 명칭의 미국 특허 번호 제7,541,201호, 2004년 9월 2일 공개된 "스캐터로메트리를 사용하여 오버레이 에러를 검출하기 위한 장치 및 방법(APPARATUS AND METHOD FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY)"이라는 명칭의 미국 특허 공개 번호 제2014/0169861호, 2013년 2월 7일 공개된 "개선된 공정 제어를 위한 품질 메트릭을 제공하기 위한 방법 및 시스템(METHOD AND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESS CONTROL)"이라는 명칭의 미국 특허 공개 번호 제2013/0035888호, 2015년 12월 15일 발행된 "SEM 오버레이 계측의 시스템 및 방법(SYSTEM AND METHOD OF SEM OVERLAY METROLOGY)"이라는 명칭의 미국 특허 번호 제9,214,317호, 2016년 6월 27일에 출원된 "패턴 배치 및 패턴 크기의 측정을 위한 장치와 방법 및 그를 위한 컴퓨터 프로그램(APPARATUS AND METHOD FOR THE MEASUREMENT OF PATTERN PLACEMENT AND SIZE OF PATTERN AND COMPUTER PROGRAM THEREFOR)"이라는 명칭의 PCT 출원 번호 제PCT/US2016/039531호에 기술되어 있으며, 이 모두가 전문이 참조로 여기에 포함된다.
본 개시의 실시 예들은 기준 피처들의 층간 오버레이 측정과 결합된 디바이스-스케일 피처들 및 기준 피처들의 층내 측정들을 사용하여 디바이스-상관 오버레이를 측정하기 위한 시스템 및 방법에 관한 것이다. 따라서, 오버레이 타겟의 층은 층내 측정에 적합한 디바이스-스케일 피처뿐만 아니라 층내 및 층간 측정 모두에 적합한 기준 피처를 포함할 수 있다. 또한, 본 개시의 실시 예는 임의의 수의 샘플 층(예를 들어, 2 개 이상의 샘플 층)의 오버레이를 측정하기에 적합한 오버레이 타겟에 관한 것이다.
따라서 디바이스-상관 오버레이(OVLdevice)는 다음과 같이 표현될 수 있지만 반드시 그런 것은 아니다.
Figure 112020069715644-pct00001
여기서 OVLref는 기준 피처의 층간 오버레이 측정이고, PPE는 관심 있는 각 층의 디바이스-스케일 피처와 기준 피처를 분리하는 층내 패턴 배치 거리 사이의 차이와 연관된 패턴 배치 에러(pattern placement error, PPE)이다. 예를 들어, 제1 층과 제2 층 사이의 디바이스-상관 오버레이 측정은 제1 층의 제조 후 제1 층 상의 선택된 디바이스-스케일 피처와 선택된 기준 피처 사이의 거리(D1)의 측정, 제2 층의 제조 후 제2 층 상의 선택된 디바이스-스케일 피처 및 선택된 기준 피처 사이의 거리(D2)의 측정, 및 제1 층 및 제2 층 상의 기준 피처의 스루-타겟 오버레이 측정(OVLref)에 기초하여 결정될 수 있다. 따라서, 디바이스-관련 오버레이는 다음과 같이 표현될 수 있다.
Figure 112020069715644-pct00002
기준 피처는 임의의 치수를 가질 수 있으며, 광학 오버레이 측정, 입자 기반 오버레이 측정 또는 PPE 측정과 같은, 그러나 이에 한정되지는 않는 당 업계에 공지된 임의의 유형의 오버레이 측정을 위하여 설계될 수 있다. 이와 관련하여, 기준 피처는 층간 오버레이 측정을 용이하게 하기 위하여 디바이스-스케일 피처과 상이한 치수를 가질 수 있다. 예를 들어, 광학 측정에 적합한 기준 피처는 선택된 광학 계측 툴의 광학 해상도보다 더 크도록 선택된 치수를 가질 수 있다.
다른 예로서, 입자 기반 측정에 적합한 기준 피처는 각각의 층에서 선택된 입자 기반 계측 툴의 해상도보다 더 크도록 선택된 치수를 가질 수 있다. 본 명세서에서 입자-기반 계측 시스템의 해상도는 물질에서의 입자-산란과 같은(이에 한정되지 않음) 입자-샘플 상호 작용으로 인하여 서브-표면 층 상에 위치된 서브-표면 피처보다 표면-레벨 피처에 대하여 더 높을 수 있다. 따라서, 입자 기반 계측 시스템은 표면 층 상의 디바이스-스케일 피처를 정확하게 분해할 수 있지만, 특히 서브-표면 피처들이 표면-레벨 피처 아래에 적층된다면 서브-표면 층 상의 디바이스-스케일 피처를 (예를 들어, 선택된 공차 내에서) 정확하게 분해하지 못할 수 있는 경우일 수 있다. 이와 관련하여, 임의의 층 상의 기준 피처의 치수는 식별된 공차 내에서 계측 시스템에 의하여 분해 가능하도록 선택될 수 있다.
패턴 배치 에러(PPE)는 다수의 요인에 의하여 영향을 받을 수 있다. 예를 들어, 본 명세서에서 전술한 바와 같이, 리소그래피 툴의 노광 필드 내에 피처의 배치는 피처의 크기, 형상, 밀도 및/또는 배향에 의하여 영향을 받을 수 있다. 따라서, 주어진 층 상의 기준 피처와 디바이스-스케일 피처 사이의 임의의 차이는 패턴 배치 에러를 야기할 수 있다. 다른 예로서, 리소그래피 툴에서 열(heat)에 의하여 야기되는 렌즈 수차 또는 난류와 같은(이에 한정되지 않음) 노출 단계 동안 리소그래피 툴에서의 수차에 의하여 필드 내 제조 에러가 유발될 수 있다.
2 개의 샘플 층 사이의 오버레이를 표현하는 위의 수학식 2 및 연관된 설명은 단지 예시적인 목적으로 제공되며 제한적인 것으로 분해되어서는 안 된다는 것을 이해하여야 한다. 본 명세서에서 전술한 바와 같이, 디바이스-상관 오버레이는 임의의 수의 샘플 층에 대하여 생성될 수 있다. 예를 들어, 수학식 1 및/또는 2의 디바이스 상관 오버레이는 다층 오버레이 타겟의 임의의 2 개의 층 사이의 오버레이를 나타낼 수 있다. 이와 관련하여, 기준 피처의 스루-타겟 오버레이 측정(OVLref) 및 PPE 측정이 다층 디바이스-상관 오버레이 측정을 제공하기 위하여 임의의 수의 샘플 층에 대하여 생성될 수 있다.
본 개시의 추가적인 실시 예는 디바이스-스케일 피처 및 하나 이상의 공통 기준 피처의 다수의 패턴를 갖는 계측 타겟에 관한 것이다. 이와 관련하여, 공통 기준 오버레이 측정(OVLref)은 디바이스-스케일 피처의 다수의 패턴 각각에 대한 디바이스-관련 오버레이를 결정하는데 사용될 수 있으며, 이는 높은 스루풋 오버레이 측정을 용이하게 할 수 있다.
본 개시의 추가적인 실시 예는 반복되는 기준 피처들의 세트를 포함하는 오버레이 타겟에 관한 것이다. 예를 들어, 오버레이 타겟은 다수의 층에 위치된 주기적으로 분포된 기준 피처를 포함할 수 있다. 이와 관련하여, 기준 오버레이(OVLref)는 반복 피처의 다수의 측정에 기초하여 결정될 수 있다. 또한, 반복 피처는 오버레이 타겟 상의 영역 당 증착된 에너지 감소를 용이하게 하여 오버레이 측정 동안 손상 가능성을 감소시킬 수 있다.
본 개시의 다른 실시 예는 디바이스-관련 오버레이 측정에 기초하여 디바이스-관련 오버레이 커렉터블을 생성하는 것에 관한 것이다. 그 후 오버레이 커렉터블은 피드백 및/또는 피드포워드 데이터로서 제조 툴(예를 들어, 리소그래피 툴)에 제공될 수 있다. 예를 들어, 샘플에서 측정된 현재 공정 단계와 연관된 오버레이 측정은 드리프트를 보상하고 동일 또는 후속 로트에서 후속 샘플에 대한 공정 단계에 대하여 선택된 공차 내에서 오버레이를 유지하기 위하여 사용될 수 있다. 다른 예로서, 현재의 공정 단계와 연관된 오버레이 측정은 임의의 측정된 오버레이 에러를 보상하기 위하여 후속 공정 단계를 조정하도록 피드포워드될 수 있다.
도 1a는 본 개시의 하나 이상의 실시 예에 따른, 디바이스-상관 계측 측정에 적합한 오버레이 계측 시스템(100)의 개념도이다. 일 실시 예에서, 오버레이 계측 시스템(100)은 적층된 오버레이 타겟 피처의 계층 간 오버레이 측정뿐만 아니라 층내 패턴 배치 거리를 측정하기에 적합한 적어도 하나의 오버레이 계측 툴(102)을 포함한다.
다른 실시 예에서, 오버레이 계측 시스템(100)은 제어기(104)를 포함한다. 다른 실시 예에서, 제어기(104)는 메모리 매체(108) 상에 유지되는 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서(106)를 포함한다. 이와 관련하여 제어기(104)의 하나 이상의 프로세서(106)는 본 개시 전반에 걸쳐 설명된 다양한 공정 단계 중 임의의 것을 실행할 수 있다. 예를 들어, 제어기(104)는 오버레이 계측 툴(102)로부터 데이터를 수신할 수 있고 디바이스-상관 오버레이 데이터를 추가로 생성할 수 있다. 다른 예로서, 제어기(104)는 오버레이 계측 툴(102)로부터의 데이터에 기초하여 디바이스-관련 오버레이 커렉터블을 생성할 수 있다.
또한, 제어기(104)는 리소그래피 툴와 같은, 그러나 이에 한정되지는 않는 하나 이상의 외부 제작 툴에 통신 가능하게 결합될 수 있다. 이와 관련하여, 제어기(104)는 선택된 오버레이 공차 내에서 오버레이를 유지하기 위하여 외부 제작 툴의 입력을 제어하기에 적합한 APC(advanced process controller)로서 동작할 수 있다.
제어기(104)의 하나 이상의 프로세서(106)는 당 업계에 공지된 임의의 처리 요소를 포함할 수 있다. 이러한 의미에서, 하나 이상의 프로세서(106)는 알고리즘 및/또는 명령어들을 실행하도록 구성된 임의의 마이크로 프로세서 유형 디바이스를 포함할 수 있다. 일 실시 예에서, 하나 이상의 프로세서(106)는 본 개시 전반에 걸쳐 설명된 바와 같이 데스크탑 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서 또는 오버레이 계측 시스템(100)을 동작시키도록 구성된 프로그램을 실행하도록 구성된 임의의 다른 컴퓨터 시스템(예를 들어, 네트워킹된 컴퓨터)으로 구성될 수 있다. "프로세서"라는 용어는 비일시적 메모리 매체(108)로부터 프로그램 명령어들을 실행하는 하나 이상의 처리 요소를 갖는 임의의 디바이스를 포함하도록 광범위하게 정의될 수 있음이 추가로 인식된다. 더욱이, 단일 제어기(104) 또는 대안적으로 다수의 제어기에 의하여 본 개시에 걸쳐 기술된 단계들이 수행될 수 있다. 또한, 제어기(104)는 공통 하우징 또는 다수의 하우징 내에 수용된 하나 이상의 제어기를 포함할 수 있다. 이러한 방식으로, 임의의 제어기 또는 제어기들의 조합은 오버레이 계측 시스템(100) 내로 통합하기에 적합한 모듈로서 개별적으로 패키징될 수 있다.
메모리 매체(108)는 연관된 하나 이상의 프로세서(106)에 의하여 실행 가능한 프로그램 명령어들을 저장하기에 적합한 기술 분야에 알려진 임의의 저장 매체를 포함할 수 있다. 예를 들어, 메모리 매체(108)는 비일시적 메모리 매체를 포함할 수 있다. 다른 예로서, 메모리 매체(108)는 ROM(read-only memory), RAM(random-access memory), 자기 또는 광학 메모리 디바이스(예를 들어, 디스크), 자기 테이프, 솔리드 스테이트 드라이브 등을 포함할 수 있다. 또한, 메모리 매체(108)는 하나 이상의 프로세서(106)와 함께 공통 제어기 하우징 내에 수용될 수 있음에 유의한다. 일 실시 예에서, 메모리 매체(108)는 하나 이상의 프로세서(106) 및 제어기(104)의 물리적 위치에 대하여 원격으로 위치될 수 있다. 예를 들어, 제어기(104)의 하나 이상의 프로세서(106)는 네트워크(예를 들어, 인터넷, 인트라넷 등)를 통하여 액세스 가능한 원격 메모리(예를 들어, 서버)에 액세스할 수 있다. 따라서, 상기 설명은 본 발명을 제한하는 것이 아니라 단지 예시로서 해석되어야 한다.
오버레이 계측 툴(102)은 층내 패턴 배치 거리 및/또는 층간 오버레이 측정을 특성화하기에 적합한 본 기술 분야에 알려진 임의의 유형의 계측 툴을 포함할 수 있다. 예를 들어, 오버레이 계측 툴(102)은 조명 빔으로 샘플을 조명할 수 있고 조명 빔에 응답하여 샘플로부터 나오는 방사선을 추가로 수집할 수 있다. 조명 빔은 광 빔(예를 들어, 광자), 전자 빔 또는 이온 빔과 같은(이에 한정되지는 않음) 샘플을 프로빙하기에 적합한 임의의 유형의 조명 빔을 포함할 수 있다. 또한, 샘플로부터 나오는 방사선은 광자, 전자, 이온, 중성 입자 등을 포함할 수 있다. 따라서, 오버레이 계측 툴(102)은 광학 계측 툴, 전자 빔 계측 툴, 이온 빔 계측 툴 등을 포함할 수 있다.
오버레이 계측 툴(102)은 이미징 모드 또는 비-이미징 모드에서 추가로 동작할 수 있다. 예를 들어, 이미징 모드에서 동작하는 오버레이 계측 툴(102)은 시스템 해상도보다 큰 샘플의 일부를 조명하고 검출기에서 샘플의 조명된 부분의 하나 이상의 이미지를 캡처할 수 있다. 캡처된 이미지는 명시야 이미지, 암시야 이미지, 위상-콘트라스트 이미지 등과 같은(이에 한정되지 않음) 당 업계에 공지된 임의의 유형의 이미지일 수 있다. 또한, 캡처된 이미지는 (예를 들어, 제어기(104) 등에 의하여) 함께 스티칭되어 샘플의 합성 이미지를 형성할 수 있다. 다른 예로서, 오버레이 계측 툴(102)은 다수의 검출기를 사용하여 동시에 또는 순차적으로 샘플의 다수의 이미지를 생성할 수 있다. 예를 들어, 오버레이 계측 툴(102)은 상이한 관점에서 샘플의 이미지를 생성할 수 있다. 다른 예에서, 오버레이 계측 툴(102)은 상이한 빔 에너지(예를 들어, 입자 빔 에너지, 광학 강도, 파장 등)를 사용하여 샘플의 이미지를 생성할 수 있다. 다른 예로서, 오버레이 계측 툴(102)은 샘플을 가로 질러 집속된 빔을 스캔하고 하나 이상의 측정 각도에서 하나 이상의 검출기 상에서 샘플로부터 나오는 방사선 및/또는 입자를 캡처하여 이미지를 생성할 수 있다. 집속 빔은 빔 경로를 수정함으로써 및/또는 집속 빔의 초점 볼륨을 통하여 샘플을 변환(translate)함으로써 샘플에 걸쳐 스캔될 수 있다. 예를 들어, 입자 빔은 (예를 들어, 하나 이상의 빔 디플렉터 등을 사용하여 생성되는) 제어된 전자기장을 사용하여 스캔될 수 있다. 다른 예에서, 광 빔은 스캐닝 거울(예를 들어, 갈보 거울, 압전 거울 등)을 사용하여 스캐닝될 수 있다.
이제 도 1b 및 1c를 참조하면, 오버레이 계측 툴(102)의 다양한 실시 예가 설명된다. 예를 들어, 오버레이 계측 툴(102)은 입자 기반 오버레이 계측 툴(102a) 및/또는 광학 오버레이 계측 툴(102b)을 포함할 수 있지만, 반드시 포함할 필요는 없다.
도 1b는 본 개시의 하나 이상의 실시 예에 따른 입자 기반 오버레이 계측 툴(102a)의 개념도이다. 입자 기반 오버레이 계측 툴(102a)은 전자 빔 계측 툴(예를 들어, SEM, CD-SEM, 등) 또는 이온-빔 계측 툴(예를 들어, 집속 이온-빔(FIB) 계측 툴)과 같은, 그러나 이에 한정되지는 않는 디바이스 피처 또는 디바이스-스케일 피처를 분해하기에 적합한 임의의 유형의 계측 툴을 포함할 수 있다.
일 실시 예에서, 입자-기반 오버레이 계측 툴(102a)은 입자 빔(112)(예를 들어, 전자 빔, 입자 빔 등)을 생성하는 입자 공급원(110)(예를 들어, 전자 빔 공급원, 이온 빔 공급원 등)을 포함한다. 입자 공급원(110)은 입자 빔(112)을 생성하기에 적합한 당 업계에 공지된 임의의 입자 공급원을 포함할 수 있다. 예를 들어, 입자 공급원(110)은 전자 총 또는 이온 총을 포함할 수 있지만, 이에 한정되지는 않는다. 다른 실시 예에서, 입자 공급원(110)은 조정 가능(tunable) 에너지를 갖는 입자 빔을 제공하도록 구성된다. 예를 들어, 전자 공급원을 포함하는 입자 공급원(110)은 0.1 kV 내지 30 kV 범위의 가속 전압을 제공할 수 있지만, 이에 한정되지는 않는다. 다른 예로서, 이온 공급원을 포함하는 입자 공급원(110)은 1 내지 50 keV 범위의 에너지를 가진 이온 빔을 제공할 수 있지만, 반드시 그런 것은 아니다.
다른 실시 예에서, 입자 기반 오버레이 계측 툴(102a)은 하나 이상의 입자 집속 요소(114)를 포함한다. 예를 들어, 하나 이상의 입자 집속 요소(114)는 단일 입자 집속 요소 또는 화합물 시스템을 형성하는 하나 이상의 입자 집속 요소를 포함할 수 있지만, 이에 한정되지 않는다. 다른 실시 예에서, 하나 이상의 입자 집속 요소(114)는 입자 빔(112)을 샘플 스테이지(120) 상에 위치된 샘플(118)로 보내도록 구성된 입자 대물 렌즈(116)를 포함한다. 또한, 하나 이상의 입자 공급원(110)은 정전기, 자기, 단일 전위 또는 이중 전위 렌즈를 포함하지만 이로 한정되지 않는 당 업계에 공지된 임의의 유형의 전자 렌즈를 포함할 수 있다.
다른 실시 예에서, 입자 기반 오버레이 계측 툴(102a)은 샘플(118)로부터 나오는 입자를 이미징하거나 아니면 검출하기 위하여 적어도 하나의 입자 검출기(122)를 포함한다. 일 실시 예에서, 입자 검출기(122)는 전자 수집기(electron collector)(예를 들어, 2 차 전자 수집기, 후방 산란 전자 검출기 등)를 포함한다. 다른 실시 예에서, 입자 검출기(122)는 샘플 표면으로부터 전자 및/또는 광자를 검출하기 위한 광자 검출기(예를 들어, 광 검출기, x-선 검출기, PMT(photomultiplier tube) 검출기에 결합된 섬광 요소 등)를 포함한다.
도 1b에 도시된 바와 같은 입자 기반 오버레이 계측 툴(102a)의 설명 및 상기 연관된 설명은 단지 예시적인 목적으로 제공되며 제한적인 것으로 해석되어서는 안 된다고 이해되어야 한다. 예를 들어, 입자 기반 오버레이 계측 툴(102a)은 샘플(118)을 동시에 조사(interrogate)하기에 적합한 다수의 빔 및/또는 다수의 열(column) 시스템을 포함할 수 있다. 추가적인 실시 예에서, 입자 기반 오버레이 계측 툴(102a)은 샘플(118)의 하나 이상의 위치에 하나 이상의 전압을 인가하도록 구성된 더 많은 컴포넌트(예를 들어, 하나 이상의 전극)를 포함할 수 있다. 이와 관련하여, 입자 기반 오버레이 계측 툴(102a)은 전압 콘트라스트 이미징 데이터를 생성할 수 있다.
본 명세서에서 더 높은 에너지 빔이 일반적으로 샘플 내로 더 깊이 침투할 수 있도록 샘플(118) 내의 입자 빔(112)의 침투 깊이는 입자 에너지에 의존할 수 있음이 인식된다. 일 실시 예에서, 입자 기반 오버레이 계측 툴(102a)은 샘플(118) 내로 입자 빔(112)의 침투 깊이에 기초하여 디바이스의 상이한 층을 조사하기 위하여 상이한 입자 에너지를 이용한다. 예를 들어, 입자 기반 오버레이 계측 툴(102a)은 비교적 낮은 에너지의 전자 빔(예를 들어, 약 1 keV 이하)을 이용하고, 이전에 제조된 층을 특성화하기 위하여 더 높은 에너지 빔(예를 들어, 약 10 keV 이상)을 이용할 수 있다. 특정 층에 대한 입자 에너지의 선택이 상이한 재료에 대하여 다를 수 있도록 입자 에너지의 함수로서의 침투 깊이는 상이한 재료에 대하여 달라질 수 있음을 본 명세서에서 인식한다.
도 1c는 본 개시의 하나 이상의 실시 예에 따른 광학 오버레이 계측 툴(102b)의 개념도이다. 광학 오버레이 계측 툴(102b)은 샘플의 둘 이상의 층과 연관된 오버레이 데이터를 생성하기에 적합한 본 기술 분야에 알려진 임의의 유형의 광학 오버레이 계측 툴을 포함할 수 있다.
일 실시 예에서, 광학 오버레이 계측 툴(102b)은 광학 조명 빔(126)을 생성하기 위한 광학 조명 공급원(124)을 포함한다. 광학 조명 빔(126)은 자외선, 가시 광선 또는 적외선을 포함하지만, 이에 한정되지는 않는 하나 이상의 선택된 광의 파장을 포함할 수 있다.
광학 조명 공급원(124)은 광학 조명 빔(126)을 생성하기에 적합한 기술 분야에 알려진 임의의 유형의 조명 공급원일 수 있다.
광학 조명 공급원(124)은 광학 조명 빔(126)을 제공하기에 적합한 임의의 유형의 조명 공급원을 포함할 수 있다. 일 실시 예에서, 광학 조명 공급원(124)은 레이저 공급원이다. 예를 들어, 광학 조명 공급원(124)은 하나 이상의 협대역 레이저 공급원, 광대역 레이저 공급원, 초연속 레이저 공급원, 백색광 레이저 공급원 등을 포함할 수 있지만, 이에 한정되지는 않는다. 이와 관련하여, 광학 조명 공급원(124)은 높은 코히어런스(예를 들어, 높은 공간 코히어런스 및/또는 시간 코히어런스)를 갖는 광학 조명 빔(126)을 제공할 수 있다. 다른 실시 예에서, 광학 조명 공급원(124)은 LSP(laser-sustained plasma) 공급원을 포함한다. 예를 들어, 광학 조명 공급원(124)은 레이저 공급원에 의하여 플라즈마 상태로 여기될 때 광대역 조명을 방출할 수 있는 하나 이상의 요소를 포함하기에 적합한 LSP 램프, LSP 전구 또는 LSP 챔버를 포함할 수 있지만 이에 한정되지는 않는다. 다른 실시 예에서, 광학 조명 공급원(124)은 램프 공급원을 포함한다. 예를 들어, 광학 조명 공급원(124)은 아크 램프, 방전 램프, 무전극(electrode-less) 램프 등을 포함할 수 있지만, 이에 한정되지는 않는다. 이와 관련하여, 광학 조명 공급원(124)은 낮은 코히어런스(예를 들어, 낮은 공간 코히어런스 및/또는 시간 코히어런스)를 갖는 광학 조명 빔(126)을 제공할 수 있다.
다른 실시 예에서, 광학 조명 공급원(124)은 조명 경로(128)를 통하여 광학 조명 빔(126)을 샘플(118)로 향하게 한다. 조명 경로(128)는 광학 조명 빔(126)을 수정하고/하거나 컨디셔닝하기에 적합한 하나 이상의 조명 경로 렌즈(130) 또는 추가적인 광학 컴포넌트(132)를 포함할 수 있다. 예를 들어, 하나 이상의 광학 컴포넌트(132)는 하나 이상의 편광기, 하나 이상의 필터, 하나 이상의 빔 스플리터, 하나 이상의 디퓨저, 하나 이상의 균질화기, 하나 이상의 아포다이저, 또는 하나 이상의 빔 형성기를 포함할 수 있지만, 이에 한정되지는 않는다. 조명 경로(128)는 광학 조명 빔(126)을 샘플(118)로 지향시키도록 구성된 대물 렌즈(134)를 더 포함할 수 있다.
다른 실시 예에서, 샘플(118)은 샘플 스테이지(120) 상에 배치된다. 샘플 스테이지(120)는 광학 오버레이 계측 툴(102b) 내에 샘플(118)을 위치시키고/시키거나 스캐닝하기에 적합한 임의의 디바이스를 포함할 수 있다. 예를 들어, 샘플 스테이지(120)는 선형 병진 스테이지, 회전 스테이지, 팁/틸트 스테이지 등의 임의의 조합을 포함할 수 있다.
다른 실시 예에서, 광학 오버레이 계측 툴(102b)은 수집 경로(138)를 통하여 샘플(118)로부터 나오는 광을 포착하도록 구성된 검출기(136)를 포함한다. 수집 경로(138)는 샘플(118)로부터 광을 수집하기 위한 하나 이상의 수집 경로 렌즈(140)를 포함할 수 있지만, 이에 한정되지는 않는다. 예를 들어, 검출기(136)는 하나 이상의 수집 경로 렌즈(140)를 통하여 (예를 들어, 정반사, 확산 반사 등을 통하여) 샘플(118)로부터 반사되거나 산란된 광을 수신할 수 있다. 다른 예로서, 검출기(136)는 샘플(118)에 의하여 생성된 광(예를 들어, 광학 조명 빔(126)의 흡수와 연관된 발광 등)을 수신할 수 있다. 다른 예로서, 검출기(136)는 샘플(118)로부터 하나 이상의 회절 차수(예를 들어, 0 차 회절, ± 1 차 회절, ± 2 차 회절 등)의 광을 수신할 수 있다.
검출기(136)는 샘플(118)로부터 수신된 조명을 측정하기에 적합한 당 업계에 알려진 임의의 유형의 검출기를 포함할 수 있다. 예를 들어, 검출기(136)는 CCD 검출기, TDI 검출기, PMT(photomultiplier tube), APD(avalanche photodiode) 등을 포함할 수 있지만, 이에 한정되지는 않는다. 다른 실시 예에서, 검출기(136)는 샘플(118)로부터 나오는 광의 파장을 식별하기에 적합한 분광 검출기를 포함할 수 있다.
수집 경로(138)는 하나 이상의 수집 경로 렌즈(140), 하나 이상의 필터, 하나 이상의 편광기, 또는 하나 이상의 빔 블록을 포함하는(이에 한정되지 않음) 샘플(118)로부터 수집된 조명을 지향시키고/시키거나 수정하기 위한 임의의 수의 광학 요소를 더 포함할 수 있다.
일 실시 예에서, 검출기(136)는 샘플(118)의 표면에 대략 수직으로 위치된다. 다른 실시 예에서, 광학 오버레이 계측 툴(102b)은 대물 렌즈(134)가 광학 조명 빔(126)을 샘플(118)로 동시에 지향시키고, 샘플(118)로부터 나오는 광을 수집하도록 배향된 빔 스플리터(142)를 포함한다. 또한, 조명 경로(128) 및 수집 경로(138)는 하나 이상의 추가적인 요소(예를 들어, 대물 렌즈(134), 애퍼처, 필터 등)를 공유할 수 있다.
광학 오버레이 계측 툴(102b)은 이미지 기반 기법 또는 스캐터로메트리 기반 기법과 같은(이에 한정되지는 않음) 당 업계에 알려진 임의의 기법에 기초하여 오버레이를 측정할 수 있다. 또한, 광학 오버레이 계측 툴(102b)은 광학 조명 빔(126)이 표면 층을 통하여 전파되어 하나 이상의 이전에 제조된 층 상의 피처와 상호 작용하게 함으로써 샘플(118)의 임의의 층 상의 피처에 기초하여 오버레이를 측정할 수 있다.
다른 실시 예에서, 오버레이 계측 툴(102)은 샘플 층 상의 다양한 피처의 패턴 배치 거리(또는 패턴 배치 에러)의 측정에 적합한 에지 배치 계측 툴을 포함한다. 예를 들어, 샘플 스테이지(120)의 위치는 큰 시야에 걸쳐 정확한 위치 결정(positioning) 결과를 제공하기 위하여 엄격하게 모니터링될 수 있다. 또한, 여기서는 샘플 스테이지(120) 자체의 제어에만 기초한 위치 결정 정확도와 반대로, 샘플 스테이지(120)의 실제 위치를 모니터링하는 것에 기초한 위치 결정 정확도가 모니터링 정확도에 의하여서만 제한될 수 있는 것으로 인식된다. 하나의 예에서, 도시되지는 않았지만, 하나 이상의 방향을 따라 샘플 스테이지(120)의 위치가 간섭계를 사용하여 모니터링되며, 이는 나노미터 또는 나노미터 이하의 정확도를 제공할 수 있지만 반드시 그래야 하는 것은 아니다. 또한, 에지 배치 계측 툴을 포함하는 오버레이 계측 툴(102)은 광학 또는 입자 빔 이미징과 같은(이에 한정되지 않음) 임의의 유형의 이미징 기법에 기초할 수 있다. 에지 배치 계측을 사용하는 구조물의 위치와 치수 측정은 일반적으로 2018년 1월 4일 공개된 "패턴 배치 및 패턴 크기의 측정을 위한 장치와 방법, 및 그를 위한 컴퓨터 프로그램(APPARATUS AND METHOD FOR THE MEASUREMENT OF PATTERN PLACEMENT AND SIZE OF PATTERN AND COMPUTER PROGRAM THEREFOR)"라는 명칭의 국제공개번호 제WO2018/004511호, 및 2017년 7월 11일 발행된 "광학 에러에 대한 위치 측정을 정정하는 방법 및 마스크 라이터 에러를 결정하는 방법(METHOD FOR CORRECTING POSITION MEASUREMENTS FOR OPTICAL ERRORS AND METHOD FOR DETERMINING MASK WRITER ERRORS)"라는 명칭의 미국 특허 번호 제9704,238호에 기술되어 있고, 이 둘은 전문이 본 명세서에 참조로 포함된다.
다른 실시 예에서, 오버레이 계측 시스템(100)은 다수의 오버레이 계측 툴(102)을 포함한다. 예를 들어, 오버레이 계측 시스템(100)은 디바이스-스케일 피처의 층내 패턴 배치 거리를 측정하기에 적합한 제1 오버레이 계측 툴(102) 및 층간 오버레이를 측정하기에 적합한 제2 오버레이 계측 시스템(100)을 포함할 수 있다. 또한, 다수의 오버레이 계측 툴(102)는 상이한 동작 원리를 가질 수 있다. 예를 들어, 디바이스-스케일 피처의 층내 패턴 배치 거리를 측정하기에 적합한 오버레이 계측 툴(102)은 디바이스-스케일 피처를 분해하기에 충분한 해상도를 갖는 입자 기반 계측 툴을 포함할 수 있다. 다른 예로서, 층간 오버레이를 측정하기에 적합한 오버레이 계측 툴(102)은 입자 기반 계측 툴 또는 광학 계측 툴을 포함할 수 있다.
도 2a는 본 개시의 하나 이상의 실시 예에 따른, 디바이스-상관 오버레이 타겟(200)의 평면도(202)를 포함한다. 도 2b는 본 개시의 하나 이상의 실시 예에 따른, 디바이스-상관 오버레이 타겟(200)의 프로파일 뷰(204)를 포함한다. 일 실시 예에서, 디바이스-상관 오버레이 타겟(200)은 샘플(118)의 다수의 층 상의 피처를 포함한다. 예를 들어, 프로파일 뷰(204)에 도시된 바와 같이, 디바이스-상관 오버레이 타겟(200)은 제1 층(206) 및 제1 층(206)에 후속하여 그 상단에 제조된 제2 층(208) 상의 피처를 포함할 수 있다.
다른 실시 예에서, 디바이스-상관 오버레이 타겟(200)은 관심 있는 각 층 상의 디바이스-스케일 피처 및 기준 피처 모두를 포함한다. 예를 들어, 디바이스-상관 오버레이 타겟(200)은 디바이스-스케일 피처의 제1 층 패턴(210a) 및 디바이스-스케일 피처의 제2 층 패턴(210b)을 포함할 수 있다. 유사하게, 디바이스-상관 오버레이 타겟(200)은 제1 층의 기준 피처들의 패턴(212a) 및 제2 층의 기준 피처들의 패턴(212b)을 포함할 수 있다.
다른 실시 예에서, 디바이스-상관 오버레이 타겟(200)은 적층된(예를 들어, 중첩된) 패턴을 포함한다. 예를 들어, 프로파일 뷰(204)에 도시된 바와 같이, 디바이스-상관 오버레이(214)가 제1 층의 디바이스-스케일 피처들의 패턴(210a)에 대하여 제2 층의 디바이스-스케일 피처들의 패턴(210b)의 상대적 변위에 대응하도록, 제2 층의 디바이스-스케일 피처들의 패턴(210b)이 제1 층의 디바이스-스케일 피처들의 패턴(210b) 상에 적층될 수 있다. 다른 예로서, 기준 오버레이(216)가 제1 층의 기준 피처들의 패턴(212a)에 대하여 제2 층의 기준 피처들의 패턴(212b)의 상대적 변위에 대응하도록, 제2 층의 기준 피처들의 패턴(212b)이 제1 층의 기준 피처들의 패턴(212a) 상에 적층될 수 있다.
일 실시 예에서, 제1 층(206) 및 제2 층(208)은 동일한 피처 패턴을 포함한다. 예를 들어, 제1 층의 디바이스-스케일 피처들의 패턴(210a)은 디바이스-상관 오버레이 타겟(200)에 걸쳐 제2 층의 디바이스-스케일 피처들의 패턴(210b)과 실질적으로 동일할 수 있다. 유사하게, 제1 층의 기준 피처들의 패턴(212a)이 디바이스-상관 오버레이 타겟(200)에 걸쳐 제2 층의 기준 피처들의 패턴(212b)과 실질적으로 동일할 수 있다. 다른 실시 예에서, 제1 층(206)과 제2 층(208)은 상이한 피처 패턴을 포함한다. 예를 들어, 제2 층(208) 상의 피처는 제1 층(206) 상의 피처와 상이한 주기로 제조될 수 있다. 하나의 예에서, 평면도(202)에 의하여 예시된 바와 같이, 제2 층(208)의 피처(예를 들어, 제2 층의 디바이스-스케일 피처들의 패턴(210b) 및 제2 층의 기준 피처들의 패턴(212b))는 제1 층(206) 상에 피처(예를 들어, 제1 층의 디바이스-스케일 피처들의 패턴(210a) 및 제1 층의 기준 피처들의 패턴(212a))의 주기의 2 배로 제조될 수 있다. 이와 관련하여, 제1 층 상의 피처는 평면도(202)에서 교대로 가시적이거나 커버될 수 있다. 다른 예로서, 제1 층의 디바이스-스케일 피처들의 패턴(210a) 및 제2 층의 디바이스-스케일 피처들의 패턴(210b)는 피처 치수(예를 들어, 임계 치수, 길이, 폭 및/또는 높이), 측벽 각도 또는 배향과 같은(이에 한정되지 않음) 상이한 특성으로 제조될 수 있다.
다른 실시 예에서, 디바이스-스케일 피처는 기준 피처로부터 공칭 선택된 거리(예를 들어, 패턴 배치 거리)에서 제조된다. 그러나, 본 명세서에서 전술한 바와 같이, 필드 내 에러는 디바이스-스케일 피처 및 기준 피처의 상대적인 배치에서 변동을 야기할 수 있다. 예를 들어, 프로파일 뷰(204)에 도시된 바와 같이, 제1 층의 디바이스-스케일 피처들의 패턴(210a) 및 제1 층의 기준 피처들의 패턴(212a)의 선택된 부분들 사이의 분리와 연관된 제1 층 패턴 배치 거리(218)는 제2 층의 디바이스-스케일 피처들의 패턴(210b) 및 제2 층의 기준 피처들의 패턴(212b)의 선택된 부분들 사이의 분리와 연관된 제2 층 패턴 배치 거리(220)와 상이할 수 있다.
따라서, 수학식 1에 설명된 바와 같이, 디바이스-상관 오버레이(214)(OVLdevice)는 기준 오버레이(216)(OVLref) 및 제1 층 패턴 배치 거리(218)와 제2 층 패턴 배치 거리(220) 사이의 차이와 연관된 PPE의 측면에서 특성화될 수 있다.
패턴 배치 거리(예를 들어, 제1 층 패턴 배치 거리(218) 및 제2 층 패턴 배치 거리(220))는 당 업계에 공지된 임의의 기법을 사용하여 결정될 수 있다. 예를 들어, 패턴 배치 거리는 디바이스-스케일 피처들을 분해하기에 충분한 해상도를 갖는 입자 기반 계측 툴(예를 들어, 입자 기반 오버레이 계측 툴(102a))로 생성된 디바이스-상관 오버레이 타겟(200)의 적어도 일부의 이미지를 (예를 들어, 제어기(104)로) 분석함으로써 결정될 수 있다.
또한, 기준 오버레이(216)(OVLref)는 당 업계에 알려진 임의의 기법을 사용하여 결정될 수 있다. 예를 들어, 기준 오버레이(216)는 기준 피처를 분해하기에 충분한 해상도를 갖는 입자 기반 계측 툴(예를 들어, 입자 기반 오버레이 계측 툴(102a))로 생성된 디바이스-상관 오버레이 타겟(200)의 적어도 일부의 이미지를 (예를 들어, 제어기(104)로) 분석함으로써 결정될 수 있다. 다른 예로서, 기준 오버레이(216)는 광학 계측 툴(예를 들어, 광학 오버레이 계측 툴(102b))를 사용하여 결정될 수 있다. 예를 들어, 제어기(104)는 관심 있는 다수의 층에서 기준 피처의 광학 이미지를 분석할 수 있다. 다른 예에서, 제어기(104)는 광학 조명 빔(126)과 제1 층의 기준 피처들의 패턴(212a) 및 제2 층의 기준 피처들의 패턴(212b) 모두와의 상호 작용과 연관된 회절 패턴의 모델 기반 분석을 이용하여 기준 오버레이(216)를 결정할 수 있다.
다른 실시 예에서, 기준 피처들의 패턴(예를 들어, 제1 층의 기준 피처들의 패턴(212a) 및 제2 층의 기준 피처들의 패턴(212b))은 스캔 방향을 따라 주기적으로 분포될 수 있다. 반복된 기준 피처는 스캔 방향을 따라 기준 오버레이(216)에 대한 다수의 측정 포인트를 제공할 수 있으며, 이는 단일 측정에 비해 확률론적 측정 노이즈를 감소시킬 수 있고 따라서 측정 정확도를 증가시킬 수 있다. 반대로, 반복된 기준 피처는 선택된 정확도의 오버레이 측정을 생성하는 데 필요한 (예를 들어, 입자 빔(112), 광학 조명 빔(126) 등과 연관된) 오버레이 측정 동안 샘플에 대한 선량(dose)을 감소시킬 수 있다. 또한, 오버레이 측정에 필요한 선량을 줄이면 충전 효과를 완화할 수 있을 뿐만 아니라 수축 효과에도 저항할 수 있어 측정 정확도를 더욱 높일 수 있다.
도 2c는 본 개시의 하나 이상의 실시 예에 따라, 측정들을 스캐닝하기에 적합한 오버레이 타겟(예를 들어, 디바이스-상관 오버레이 타겟(200) 등)의 주기적으로 분포된 기준 피처들의 평면도(222)이다. 일 실시 예에서, 주기적으로 분포된 제1 층 피처(224)는 스캐닝 방향(226)을 따라 샘플(예를 들어, 샘플(118))의 제1 층 상에 위치된다. 다른 실시 예에서, 주기적으로 분포된 제2 층 피처(228)는 스캔 방향(226)을 따라 샘플의 제2 층 상에 위치된다. 또한 도 2c에 도시된 바와 같이, 제2 층 피처(228)는 이미징 빔(예를 들어, 입자 빔(112), 광학 조명 빔(126) 등)의 스와스(swath)(230)가 동시에 제1 층 피처(224) 및 제2 층 피처(228) 모두를 이미징할 수 있도록 제1 층 피처(224) 상에 적층될 수 있다.
피처가 오버레이 계측 툴로 분해될 수 있도록, 기준 피처는 선택된 오버레이 계측 툴(예를 들어, 입자 기반 오버레이 계측 툴(102a), 광학 오버레이 계측 툴(102b) 등)의 해상도보다 더 크도록 선택된 치수를 가질 수 있다. 예를 들어, 제1 층 피처(224) 및 제2 층 피처(228)가 선택된 오버레이 계측 툴로 분해 가능하도록, 스캐닝 방향(226)을 따라 제1 층 피처(224)의 길이(232), 스캐닝 방향(226)을 따라 제2 층 피처(228)의 길이(234) 및/또는 스캐닝 방향(226)을 따라 피치(236)가 선택될 수 있다.
임의의 층 상의 기준 피처는 추가로 세그먼트화될 수 있다. 예를 들어, 오버레이 타겟의 모든 층 상의 기준 피처는 분해 가능한 피치(236)로 주기적으로 분포될 수 있고, 타겟의 임의의 층 상의 기준 피처는 디바이스-스케일 피치(238)로 더 세그먼트화되어, 층의 설계 규칙과 공정 호환성을 제공하고, 층 상의 기준 피처와 디바이스 피처 간의 배치 계통 오차를 최소화할 수 있다. 본 명세서에서 전술한 바와 같이, 디바이스-스케일 피치(238)로 분리된 세그먼트가 오버레이 계측 툴로 분해 가능하지 않을 수 있도록, 입자-기반 오버레이 계측 툴(102a)의 해상도는 표면 레벨 피처(예를 들어, 제2 층 피처(228))에 비해 서브-표면 피처(예를 들어, 제1 층 피처(224))에 대하여 더 낮을 수 있다. 그러나, 피치(236), 제1 층 피처(224)의 길이(232) 및 제2 층 피처(228)의 길이(234)가 분해 가능한 한, 기준 오버레이(216)가 측정될 수 있다.
디바이스-상관 오버레이 타겟(200)은 다수의 방향에서의 오버레이 측정을 용이하게 하도록 추가로 구성될 수 있다. 예를 들어, 프로파일 뷰(204)는 X-방향을 따른 오버레이의 결정을 예시한다. 일 실시 예에서, 평면도(202)에 의하여 도시된 바와 같이, 디바이스-상관 오버레이 타겟(200)은 X-방향을 따라 오버레이 측정에 적합한 X-방향을 따라 배향된 제1 피처들의 세트(240) 및 Y-방향을 따라 오버레이 측정에 적합한 Y-방향을 따라 배향된 제2 피처들의 세트(242)를 포함한다.
디바이스-상관 오버레이 타겟은 상이한 디바이스-스케일 피처 패턴의 다수 세트 및/또는 상이한 기준 피처 패턴의 다수의 세트를 추가로 포함할 수 있다. 본 개시의 목적을 위하여, 이러한 오버레이 타겟은 복합(composite) 오버레이 타겟으로 지칭된다. 제조된 층은 변화하는 치수, 밀도 및/또는 배향을 갖는 (예를 들어, 반도체 디바이스의 일부를 형성하는) 디바이스 피처를 포함할 수 있다는 것이 본 명세서에서 인식된다. 따라서, 디바이스 피처의 변화하는 치수, 밀도 및/또는 배향은 변화하는 패턴 배치 에러, 따라서 변화하는 온-디바이스 오버레이를 야기할 수 있다. 일 실시 예에서, 복합 디바이스-상관 오버레이 타겟은 주어진 층 상의 상이한 디바이스 구조에 대응하는 상이한 디바이스-스케일 피처 패턴을 포함할 수 있다. 이와 관련하여, 디바이스-상관 오버레이는 각각의 유형의 디바이스-스케일 피처 패턴에 대하여 측정되어 다수의 디바이스 구조에 대한 강력하고 정확한 오버레이 측정을 용이하게 할 수 있다.
유사하게, 복합 디바이스-상관 오버레이 타겟은 기준 피처 패턴의 다수의 세트를 포함할 수 있다. 이와 관련하여, 오버레이는 디바이스-스케일 피처 패턴 및 기준 피처 패턴의 임의의 선택된 조합에 기초하여 측정될 수 있다.
도 3은 본 개시의 하나 이상의 실시 예에 따른 복합 디바이스-상관 오버레이 타겟(300)의 개념도이다. 일 실시 예에서, 복합 디바이스-상관 오버레이 타겟(300)은 다수의 디바이스-스케일 패턴(302a-g)을 포함한다. 다른 실시 예에서, 복합 디바이스-상관 오버레이 타겟(300)은 다수의 기준 패턴(304a, b)을 포함한다. 다수의 디바이스-스케일 패턴(302a-g) 및 다수의 기준 패턴(304a, b)은 임의의 공간적으로 분리된 배향으로 분포될 수 있다. 예를 들어, 도 3에 도시된 바와 같이, 복합 디바이스-상관 오버레이 타겟(300)은 그리드의 각 세그먼트가 디바이스-스케일 패턴 및/또는 기준 패턴을 포함하는 그리드로 분할될 수 있다.
일 실시 예에서, 디바이스-상관 오버레이 측정은 임의의 디바이스-스케일 패턴(302a-g)과 임의의 기준 패턴(304a, b) 사이에서 생성될 수 있다. 이와 관련하여, 선택된 디바이스-스케일 패턴(302a-g) 및 선택된 기준 패턴(304a, b)은 (예를 들어, 도 3에 도시된 디바이스-상관 오버레이 타겟(200)과 유사하지만, 도 3에 도시된 특정 설계로 한정되지 않는) 디바이스-상관 오버레이 타겟으로서 조합하여 고려될 수 있다. 따라서, 디바이스-상관 오버레이 측정은 수학식 1 및 도 3의 디바이스-상관 오버레이 타겟(200)의 예시적인 설명에 기초하여 생성될 수 있다.
또한, 디바이스-스케일 패턴(302a-g) 및 기준 패턴(304a, b)은 임의의 방향을 따라 배향된 피처를 포함할 수 있다. 예를 들어, 각각의 디바이스-스케일 패턴(302a-g) 또는 기준 패턴(304a, b)은 다수의 방향을 따라 오버레이의 결정에 적합한 다수의 방향을 따라 분포된 피처를 포함할 수 있다. 다른 예로서, 디바이스-스케일 패턴(302a-g) 또는 기준 패턴(304a, b)은 단일 방향을 따라 분포된 피처를 포함할 수 있다.
본 명세서에서 복합 디바이스-상관 오버레이 타겟(예를 들어, 복합 디바이스-상관 오버레이 타겟(300))은 다수의 디바이스-스케일 패턴에 대한 디바이스-상관 오버레이 값의 효율적인 측정을 용이하게 할 수 있음이 인식된다. 예를 들어, 임의의 기준 패턴(304a, b)과 연관된 단일 기준 오버레이 측정(예를 들어, OVLref)이 적용되어 다수의 디바이스 패턴의 다수의 디바이스-관련 오버레이를 결정할 수 있다.
또한, 디바이스-스케일 패턴 및 기준 패턴의 상이한 조합으로 측정된 디바이스-상관 오버레이는 리소그래피 시스템의 수차와 같은(이에 한정되지 않음) 제조 계통 오차의 결정을 용이하게 할 수 있다.
도 3에 도시된 복합 디바이스-상관 오버레이 타겟(300)은 단지 예시적인 목적으로 제공되며 제한적인 것으로 해석되어서는 안 된다는 것이 이해되어야 한다. 예를 들어, 복합 디바이스-상관 오버레이 타겟(300)은 직사각형 또는 원형과 같은(이에 한정되지 않음) 다수의 디바이스-스케일 피처 패턴 및/또는 기준 피처 패턴을 포함하기에 적합한 임의의 형상을 가질 수 있다.
도 4는 본 개시의 하나 이상의 실시 예에 따라, 디바이스-상관 오버레이를 측정하기 위한 방법(400)에서 수행되는 단계들을 예시하는 흐름도이다. 출원인은 오버레이 계측 시스템(100)의 맥락에서 본 명세서에서 이전에 설명된 실시 예들 및 가능 기술들이 방법(400)으로 확장되도록 해석되어야 한다는 것을 주목한다. 그러나, 방법(400)은 오버레이 계측 시스템(100)의 아키텍처에 한정되지 않는다는 것이 또한 주목된다.
일 실시 예에서, 방법(400)은 적어도 디바이스-스케일 피처들의 패턴 및 기준 피처들의 패턴을 포함하는 샘플 상에 오버레이 타겟의 제1 층을 제조하는 단계(402)를 포함한다. 예를 들어, 오버레이 타겟은 제1 층 상에 반도체 디바이스의 일부를 형성하는 디바이스 피처와 유사한 하나 이상의 특성(예를 들어, 크기, 형상, 밀도, 배향 등)을 갖는 디바이스-스케일 피처를 포함할 수 있다. 이와 관련하여, 디바이스-스케일 피처는 디바이스 피처과 유사한 특성으로 인쇄될 수 있다. 오버레이 타겟은 후속 층들에서 대응하는 피처들을 갖는 층간 오버레이 측정들을 제공하기에 적합한 선택된 특성들(예를 들어, 크기, 형상, 밀도, 배향 등)을 갖는 기준 피처들을 추가로 포함할 수 있다. 또한, 기준 피처의 특성은 디바이스-스케일 피처의 특성과 상이할 수도 있지만 반드시 그래야 하는 것은 아니다.
다른 실시 예에서, 방법(400)은 제1 층을 제조한 후의 이미징 시스템으로 제1 층 상의 디바이스-스케일 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 측정하는 단계(404)를 포함한다. 제1 층 패턴 배치 거리는 당 업계에 공지된 임의의 기법을 사용하여 측정될 수 있다. 예를 들어, 단계(404)는 제1 층 상의 디바이스-스케일 피처 및 기준 피처의 하나 이상의 이미지를 생성하는 단계 및 하나 이상의 이미지에 기초하여 제1 층 패턴 배치 거리를 결정하는 단계를 포함할 수 있다.
일 실시 예에서, 단계(404)에서 사용된 이미징 시스템은 디바이스-스케일 피처를 분해하기에 적합한 입자 기반 이미징 시스템(예를 들어, 입자 기반 오버레이 계측 툴(102a) 등)이다.
다른 실시 예에서, 방법(400)은 적어도 디바이스 피처들의 패턴 및 기준 피처들의 패턴을 포함하는 샘플 상에 오버레이 타겟의 제2 층을 제조하는 단계(406)를 포함한다. 예를 들어, 디바이스-스케일 피처들의 패턴 및 기준 피처들의 패턴은 제2 층 상에 복제될 수 있다. 일 실시 예에서, 제1 및 제2 층 상의 디바이스-스케일 피처는 적층된다(예를 들어, 중첩된다). 이와 관련하여, 디바이스-상관 오버레이는 제1 층에 대한 제2 층 상의 디바이스-스케일 피처의 상대적인 변위와 연관될 수 있다. 유사하게, 기준 오버레이가 제1 층에 대한 제2 층 상의 기준 피처의 상대적인 변위와 연관될 수 있도록 제1 및 제2 층 상의 기준 피처들의 패턴은 적층될 수 있다.
다른 실시 예에서, 방법(400)은 제2 층을 제조한 후의 이미징 시스템으로, 제2 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정하는 단계(408)를 포함한다. 예를 들어, 단계(408)는 제2 층에서 반복되는 단계(404)와 실질적으로 유사할 수 있다. 일 실시 예에서, 제1 층 패턴 배치 거리 및 제2 층 패턴 배치 거리는 동일한 이미징 시스템을 사용하여 측정되어 일관된 측정을 제공할 수 있다.
다른 실시 예에서, 방법(400)은 오버레이 계측 시스템으로, 제1 층 상의 기준 피처들의 패턴 및 제2 층 상의 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하는 단계(410)를 포함한다.
기준 오버레이는 당 업계에 알려진 임의의 오버레이 기법을 사용하여 측정될 수 있다. 예를 들어, 기준 오버레이는 입자 기반 오버레이 툴(예를 들어, 입자 기반 오버레이 계측 툴(102a) 등)을 사용하여 측정될 수 있다. 이와 관련하여, 입자 기반 오버레이 계측 툴은 샘플로의 입자 빔의 침투 깊이에 기초하여 디바이스의 상이한 층을 조사(interrogate)하기 위하여 상이한 입자 에너지를 이용할 수 있다. 예를 들어, 입자 기반 오버레이 계측 툴은 비교적 저에너지 전자 빔(예를 들어, 약 1 keV 이하)을 이용할 수 있고, 더 높은 에너지 빔(예를 들어, 약 10 keV 이상)을 이용하여 이전에 제조된 층을 특성화할 수 있다. 또한, 특정 층에 대한 입자 에너지의 선택이 상이한 재료마다 다를 수 있도록, 입자 에너지의 함수로서의 침투 깊이는 상이한 재료마다 다를 수 있다.
다른 예로서, 기준 오버레이는 광학 이미징 계측 또는 스캐터로메트리 오버레이 계측와 같은(이에 한정되지는 않음) 광학 계측 기법을 사용하여 측정될 수 있다. 이와 관련하여, 제1 및 제2 층 상의 기준 피처는 선택된 광학 오버레이 계측 툴의 광학 해상도보다 큰 치수로 제조될 수 있다. 본 명세서에서 전술한 바와 같이, 광학 계측 기법은 x-선 파장, EUV 파장, VUV 파장, DUV 파장, UV 파장, 가시 파장 또는 IR 파장과 같은(이에 한정되지는 않음) 전자기 방사선의 임의의 파장을 이용할 수 있다.
다른 실시 예에서, 제1 층 및 제2 층 상의 기준 피처는 스캔 방향을 따라 주기적으로 분포된다. 이와 관련하여, 기준 오버레이는 스캔 방향을 따라 측정될 수 있고 기준 오버레이에 대한 다수의 측정 포인트를 제공할 수 있으며, 이는 확률론적 측정 노이즈를 감소시킬 수 있다. 따라서, 기준 오버레이 측정을 생성하는 데 필요한 선량은 비-반복 구조에 비해 감소될 수 있으며, 이는 충전 효과 및 레지스트-층 수축과 같은(이에 한정되지 않음) 측정 자체와 연관된 에러를 완화시킬 수 있다.
다른 실시 예에서, 방법(400)은 제1 층 패턴 배치 거리와 제2 층 패턴 배치 거리 사이의 차이로 기준 오버레이를 조정함으로써 디바이스-스케일 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하는 단계(412)를 포함한다. 예를 들어, 수학식 1과 관련하여 본 명세서에서 이전에 설명된 바와 같이, 디바이스-관련 오버레이(OVLdevice)는 단계(410)와 연관된 기준 오버레이(OVLref) 및 단계(404)의 제1 층 패턴 배치 거리와 단계(408)의 제2 층 패턴 배치 거리 사이의 차이와 연관된 ΔPPE의 측면에서 특성화될 수 있다.
다른 실시 예에서, 방법(400)은 적어도 하나의 후속 노출의 노출 조건을 수정하기 위하여 리소그래피 시스템에 디바이스-관련 오버레이에 기초하여 오버레이 커렉터블을 제공하는 단계(414)를 포함한다.
예를 들어, 단계(414)는 디바이스-관련 오버레이에 기초하여 리소그래피 툴과 같은(이에 한정되지 않음) 제조 툴에 대한 제어 파라미터(또는 제어 파라미터에 대한 정정)를 생성하는 것을 포함할 수 있다. 제어 파라미터는 오버레이 계측 시스템(100)의 제어기(104)와 같은, 그러나 이에 한정되지 않는, 제어 시스템에 의하여 생성될 수 있다. 오버레이 커렉터블은 피드백 및/또는 피드포워드 제어 루프의 일부로서 제공될 수 있다. 일 실시 예에서, 샘플에서 측정된 현재 공정 단계와 연관된 디바이스-관련 오버레이 측정은 하나 이상의 제조 공정의 드리프트를 보상하기 위하여 사용되며, 따라서 동일한 또는 상이한 로트에서 후속 샘플에 대한 다수의 노출에 걸쳐 선택된 공차 내에서 오버레이를 유지할 수 있다. 다른 실시 예에서, 현재 공정 단계와 연관된 디바이스-관련 오버레이 측정은 임의의 측정된 오버레이 에러를 보상하기 위하여 후속 공정 단계를 조정하도록 피드포워드될 수 있다. 예를 들어, 후속 층 상의 패턴의 노출은 후속 층의 측정된 오버레이와 일치하도록 조정될 수 있다.
본 명세서에 기술된 주제(subject matter)는 때때로 다른 컴포넌트 내에 포함되거나 다른 컴포넌트와 연결된 상이한 컴포넌트를 도시한다. 이러한 도시된 아키텍처는 단지 예시적인 것이며, 사실상 동일한 기능을 달성하는 많은 다른 아키텍처가 구현될 수 있음을 이해하여야 한다. 개념적으로, 동일한 기능을 달성하기 위한 컴포넌트의 임의의 배열은 원하는 기능이 달성되도록 효과적으로 "연관(associated)"된다. 따라서 본 명세서에서 특정 기능을 달성하도록 결합된 임의의 2 개의 컴포넌트는 아키텍처 또는 중간 컴포넌트에 상관 없이 원하는 기능이 달성되도록 서로 "연관된" 것으로 보일 수 있다. 마찬가지로, 그렇게 연관된 임의의 2 개의 컴포넌트는 원하는 기능을 달성하기 위하여 서로 "연결된(connected)" 또는 "결합된(coupled)" 것으로 볼 수 있으며, 그렇게 연관될 수 있는 임의의 2 개의 컴포넌트는 원하는 기능을 달성하기 위하여 서로 "결합 가능한(couplable)" 것으로 간주될 수 있다. 결합 가능의 특정 예는 물리적 상호 작용 가능하고/하거나 물리적으로 상호 작용하는 컴포넌트 및/또는 무선으로 상호 작용 가능하고/하거나 무선으로 상호 작용하는 컴포넌트 및/또는 논리적으로 상호 작용 가능하고/하거나 논리적 상호 작용하는 컴포넌트를 포함하지만 이에 한정되지는 않는다.
본 개시 내용 및 그 수반되는 많은 이점은 전술한 설명에 의하여 이해될 것이라 믿으며, 개시된 주제로부터 벗어나지 않고 또는 그것의 모든 중요한(material) 이점을 희생시키지 않고 컴포넌트의 형태, 구성 및 배열에서 다양한 변경이 이루어질 수 있음이 명백할 것이다. 기술된 형태는 단지 설명적인 것이며, 다음의 청구범위의 의도는 그러한 변경을 포괄하고 포함하는 것이다. 또한, 본 발명은 첨부된 청구범위에 의하여 정의된다는 것을 이해하여야 한다.

Claims (36)

  1. 오버레이 계측 시스템에 있어서,
    프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기
    를 포함하고,
    상기 프로그램 명령어들은 상기 하나 이상의 프로세서로 하여금:
    샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들(deivce features)의 패턴과 기준 피처들(reference features)의 패턴 사이의 제1 층 패턴 배치 거리(first-layer pattern placement distance)를 측정하고;
    적어도 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후에, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리(second-layer pattern placement distance)를 측정하고;
    상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하고 - 상기 제1 층 상의 상기 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 이미징 시스템에 의하여 분해 가능하고(resolvable), 상기 기준 피처들의 패턴은 상기 제1 층 및 상기 제2 층 상의 스캔 방향을 따라 주기적으로 분포된 2 개 이상의 세트들의 피처들을 포함함 -;
    상기 제1 층 패턴 배치 거리와 상기 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써 상기 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하게
    하는 것인, 오버레이 계측 시스템.
  2. 제1항에 있어서, 상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금:
    적어도 하나의 후속 노출의 노출 조건을 수정하기 위하여 리소그래피 시스템에 상기 디바이스-관련 오버레이에 기초하여 오버레이 커렉터블(correctable)을 제공하게 하는 프로그램 명령어들을 실행하도록 구성되는 것인, 오버레이 계측 시스템.
  3. 오버레이 계측 시스템에 있어서,
    프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기
    를 포함하고,
    상기 프로그램 명령어들은 상기 하나 이상의 프로세서로 하여금:
    샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 측정하고;
    적어도 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후에, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정하고;
    상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하고 - 상기 제1 층 상의 상기 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 이미징 시스템에 의하여 분해 가능함(resolvable) -;
    상기 제1 층 패턴 배치 거리와 상기 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써 상기 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하게 하고,
    상기 디바이스 피처들의 패턴은 제1 디바이스 피처들의 패턴이고, 상기 오버레이 타겟은 상기 제1 층 및 상기 제2 층 상에 제조된 하나 이상의 추가적인 디바이스 피처들의 패턴을 포함하고,
    상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금:
    상기 제2 층을 제조하기 전에, 상기 제1 층 상의 상기 하나 이상의 추가적인 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 하나 이상의 추가적인 제1 층 패턴 배치 거리를 측정하고;
    상기 제2 층을 제조한 후에, 상기 제2 층 상의 상기 하나 이상의 추가적인 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 하나 이상의 제2 층 패턴 배치 거리를 측정하고;
    상기 하나 이상의 추가적인 제1 층 패턴 배치 거리와 각각의 하나 이상의 추가적인 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써, 상기 하나 이상의 추가적인 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하게 하는
    프로그램 명령어들을 실행하도록 구성되는 것인, 오버레이 계측 시스템.
  4. 제3항에 있어서, 상기 제1 디바이스 피처들의 패턴 및 상기 하나 이상의 추가적인 세트의 디바이스 피처들은 상기 오버레이 타겟 상에서 공간적으로 분리되는 것인, 오버레이 계측 시스템.
  5. 제3항에 있어서, 상기 제1 층 및 상기 제2 층 상의 상기 디바이스 피처들의 패턴은 중첩되는 것인, 오버레이 계측 시스템.
  6. 제5항에 있어서, 상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들은 중첩되는 것인, 오버레이 계측 시스템.
  7. 제3항에 있어서, 상기 제1 층 및 상기 제2 층 상의 상기 디바이스 피처들의 패턴은 상기 오버레이 타겟 상에서 공간적으로 분리되는 것인, 오버레이 계측 시스템.
  8. 제7항에 있어서, 상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들은 중첩되는 것인, 오버레이 계측 시스템.
  9. 오버레이 계측 시스템에 있어서,
    프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기
    를 포함하고,
    상기 프로그램 명령어들은 상기 하나 이상의 프로세서로 하여금:
    샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 측정하고;
    적어도 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후에, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정하고;
    상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하고 - 상기 제1 층 상의 상기 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 이미징 시스템에 의하여 분해 가능함 -;
    상기 제1 층 패턴 배치 거리와 상기 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써 상기 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하게 하고,
    상기 기준 피처들의 패턴은 제1 기준 피처들의 패턴이고, 상기 오버레이 타겟은 상기 제1 층 및 상기 제2 층 상에 제조된 하나 이상의 추가적인 기준 피처들의 패턴을 포함하고,
    상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금:
    상기 제2 층을 제조하기 전에, 상기 제1 층 상의 상기 디바이스 피처들의 패턴과 상기 하나 이상의 추가적인 기준 피처들의 패턴 사이의 하나 이상의 추가적인 제1 층 패턴 배치 거리를 측정하고;
    상기 제2 층을 제조한 후에, 상기 제1 층 및 상기 제2 층 상의 상기 하나 이상의 추가적인 기준 피처들의 패턴의 상대적인 위치에 기초하여 하나 이상의 추가적인 기준 오버레이를 측정하고 - 상기 제1 층 상의 상기 하나 이상의 추가적인 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 이미징 시스템에 의하여 분해 가능함 -;
    상기 제2 층을 제조한 후에, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 하나 이상의 추가적인 기준 피처들의 패턴 사이의 하나 이상의 제2 층 패턴 배치 거리를 측정하고;
    상기 하나 이상의 추가적인 제1 층 패턴 배치 거리와 각각의 하나 이상의 추가적인 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 하나 이상의 추가적인 기준 오버레이를 조정함으로써 하나 이상의 추가적인 디바이스-관련 오버레이를 결정하게 하는
    프로그램 명령어들을 실행하도록 구성되는 것인, 오버레이 계측 시스템.
  10. 제9항에 있어서, 상기 제1 기준 피처들의 패턴 및 상기 하나 이상의 추가적인 세트의 기준 피처들은 상기 오버레이 타겟 상에서 공간적으로 분리되는 것인, 오버레이 계측 시스템.
  11. 제1항에 있어서,
    상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 상기 기준 오버레이를 측정하는 것은:
    상기 2 개 이상의 세트들의 피처들의 하나 이상의 스캔 이미지를 생성하고;
    상기 하나 이상의 스캔 이미지에서의 상기 2 개 이상의 세트들의 피처들에 기초하여 상기 기준 오버레이를 결정하는 것
    을 포함하는 것인, 오버레이 계측 시스템.
  12. 제11항에 있어서, 상기 제1 층 상의 상기 기준 피처들의 패턴의 적어도 일부 피처는 상기 제2 층을 통하여 이미징될 때 상기 이미징 시스템의 해상도보다 작은 피치로 세그먼트화되는 것인, 오버레이 계측 시스템.
  13. 제11항에 있어서, 상기 하나 이상의 스캔 이미지는:
    상이한 이미징 각도, 상이한 빔 에너지 또는 상이한 파장 중 적어도 하나를 이용하여 생성된 하나 이상의 스캔 이미지를 포함하는 것인, 오버레이 계측 시스템.
  14. 제1항에 있어서, 상기 이미징 시스템은 입자 기반 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  15. 제14항에 있어서, 상기 입자 기반 이미징 시스템은 전자-빔 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  16. 제14항에 있어서, 상기 입자 기반 이미징 시스템은 이온-빔 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  17. 제1항에 있어서, 상기 이미징 시스템은 광학 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  18. 제1항에 있어서, 상기 이미징 시스템은 2 개 이상의 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  19. 오버레이 계측 시스템에 있어서,
    이미징 시스템; 및
    상기 이미징 시스템에 통신 가능하게 결합되고, 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기
    를 포함하고,
    상기 프로그램 명령어들은 상기 하나 이상의 프로세서로 하여금:
    샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 상기 제1 층을 제조한 후에 측정하고;
    적어도 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후에, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정하고;
    상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하고 - 상기 제1 층 상의 상기 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 상기 이미징 시스템에 의하여 분해 가능하고, 상기 기준 피처들의 패턴은 상기 제1 층 및 상기 제2 층 상의 스캔 방향을 따라 주기적으로 분포된 2 개 이상의 세트들의 피처들을 포함함 -;
    상기 제1 층 패턴 배치 거리와 상기 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써 상기 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하게 하는 것인, 오버레이 계측 시스템.
  20. 제19항에 있어서, 상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금:
    적어도 하나의 후속 노출의 노출 조건을 수정하기 위하여 리소그래피 시스템에 상기 디바이스-관련 오버레이에 기초하여 오버레이 커렉터블을 제공하게 하는 프로그램 명령어들을 실행하도록 구성되는 것인, 오버레이 계측 시스템.
  21. 오버레이 계측 시스템에 있어서,
    이미징 시스템; 및
    상기 이미징 시스템에 통신 가능하게 결합되고, 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기
    를 포함하고,
    상기 프로그램 명령어들은 상기 하나 이상의 프로세서로 하여금:
    샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 상기 제1 층을 제조한 후에 측정하고;
    적어도 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후에, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정하고;
    상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하고 - 상기 제1 층 상의 상기 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 상기 이미징 시스템에 의하여 분해 가능함 -;
    상기 제1 층 패턴 배치 거리와 상기 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써 상기 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하게 하고,
    상기 디바이스 피처들의 패턴은 제1 디바이스 피처들의 패턴이고, 상기 오버레이 타겟은 상기 제1 층 및 상기 제2 층 상에 제조된 하나 이상의 추가적인 디바이스 피처들의 패턴을 포함하고,
    상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금:
    상기 제2 층을 제조하기 전에, 상기 제1 층 상의 상기 하나 이상의 추가적인 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 하나 이상의 추가적인 제1 층 패턴 배치 거리를 측정하고;
    상기 제2 층을 제조한 후에, 상기 제2 층 상의 상기 하나 이상의 추가적인 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 하나 이상의 제2 층 패턴 배치 거리를 측정하고;
    상기 하나 이상의 추가적인 제1 층 패턴 배치 거리와 각각의 하나 이상의 추가적인 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써, 상기 하나 이상의 추가적인 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하게 하는
    프로그램 명령어들을 실행하도록 구성되는 것인, 오버레이 계측 시스템.
  22. 제21항에 있어서, 상기 제1 디바이스 피처들의 패턴 및 상기 하나 이상의 추가적인 세트의 디바이스 피처들은 상기 오버레이 타겟 상에서 공간적으로 분리되는 것인, 오버레이 계측 시스템.
  23. 제21항에 있어서, 상기 제1 층 및 상기 제2 층 상의 상기 디바이스 피처들의 패턴은 중첩되는 것인, 오버레이 계측 시스템.
  24. 제23항에 있어서, 상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들은 중첩되는 것인, 오버레이 계측 시스템.
  25. 제21항에 있어서, 상기 제1 층 및 상기 제2 층 상의 상기 디바이스 피처들의 패턴은 상기 오버레이 타겟 상에서 공간적으로 분리되는 것인, 오버레이 계측 시스템.
  26. 제25항에 있어서, 상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들은 중첩되는 것인, 오버레이 계측 시스템.
  27. 오버레이 계측 시스템에 있어서,
    이미징 시스템; 및
    상기 이미징 시스템에 통신 가능하게 결합되고, 프로그램 명령어들을 실행하도록 구성된 하나 이상의 프로세서를 포함하는 제어기
    를 포함하고,
    상기 프로그램 명령어들은 상기 하나 이상의 프로세서로 하여금:
    샘플 상의 오버레이 타겟의 제1 층 상의 디바이스 피처들의 패턴과 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 상기 제1 층을 제조한 후에 측정하고;
    적어도 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴을 포함하는 제2 층을 제조한 후에, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정하고;
    상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하고 - 상기 제1 층 상의 상기 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 상기 이미징 시스템에 의하여 분해 가능함 -;
    상기 제1 층 패턴 배치 거리와 상기 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써 상기 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하게 하고,
    상기 기준 피처들의 패턴은 제1 기준 피처들의 패턴이고, 상기 오버레이 타겟은 상기 제1 층 및 상기 제2 층 상에 제조된 하나 이상의 추가적인 기준 피처들의 패턴을 포함하고,
    상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금:
    상기 제2 층을 제조하기 전에, 상기 제1 층 상의 상기 디바이스 피처들의 패턴과 상기 하나 이상의 추가적인 기준 피처들의 패턴 사이의 하나 이상의 추가적인 제1 층 패턴 배치 거리를 측정하고;
    상기 제2 층을 제조한 후에, 상기 제1 층 및 상기 제2 층 상의 상기 하나 이상의 추가적인 기준 피처들의 패턴의 상대적인 위치에 기초하여 하나 이상의 추가적인 기준 오버레이를 측정하고 - 상기 제1 층 상의 상기 하나 이상의 추가적인 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 상기 이미징 시스템에 의하여 분해 가능함 - ;
    상기 제2 층을 제조한 후에, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 하나 이상의 추가적인 기준 피처들의 패턴 사이의 하나 이상의 제2 층 패턴 배치 거리를 측정하고;
    상기 하나 이상의 추가적인 제1 층 패턴 배치 거리와 각각의 하나 이상의 추가적인 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 하나 이상의 추가적인 기준 오버레이를 조정함으로써 하나 이상의 추가적인 디바이스-관련 오버레이를 결정하게 하는
    프로그램 명령어들을 실행하도록 구성되는 것인, 오버레이 계측 시스템.
  28. 제27항에 있어서, 상기 제1 기준 피처들의 패턴 및 상기 하나 이상의 추가적인 세트의 기준 피처들은 상기 오버레이 타겟 상에서 공간적으로 분리되는 것인, 오버레이 계측 시스템.
  29. 제19항에 있어서,
    상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 상기 기준 오버레이를 측정하는 것은:
    상기 2 개 이상의 세트들의 피처들의 하나 이상의 스캔 이미지를 생성하고;
    상기 하나 이상의 스캔 이미지에서의 상기 2 개 이상의 세트들의 피처들에 기초하여 상기 기준 오버레이를 결정하는 것
    을 포함하는 것인, 오버레이 계측 시스템.
  30. 제29항에 있어서, 상기 제1 층 상의 상기 기준 피처들의 패턴의 적어도 일부 피처는 상기 제2 층을 통하여 이미징될 때 상기 이미징 시스템의 해상도보다 작은 피치로 세그먼트화되는 것인, 오버레이 계측 시스템.
  31. 제30항에 있어서, 상기 하나 이상의 스캔 이미지는:
    상이한 이미징 각도, 상이한 빔 에너지 또는 상이한 파장 중 적어도 하나를 이용하여 생성된 하나 이상의 스캔 이미지를 포함하는 것인, 오버레이 계측 시스템.
  32. 제19항에 있어서, 상기 이미징 시스템은 입자 기반 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  33. 제32항에 있어서, 상기 입자 기반 이미징 시스템은 전자-빔 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  34. 제32항에 있어서, 상기 입자 기반 이미징 시스템은 이온-빔 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  35. 제19항에 있어서, 상기 이미징 시스템은 광학 이미징 시스템을 포함하는 것인, 오버레이 계측 시스템.
  36. 오버레이 계측 방법에 있어서,
    적어도 디바이스 피처들의 패턴과 기준 피처들의 패턴을 포함하는 샘플 상의 오버레이 타겟의 제1 층을 제조하는 단계;
    상기 제1 층을 제조한 후에 이미징 시스템으로, 상기 제1 층 상의 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 제1 층 패턴 배치 거리를 측정하는 단계;
    적어도 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴을 포함하는 상기 샘플 상의 상기 오버레이 타겟의 제2 층을 제조하는 단계;
    상기 제2 층을 제조한 후에 상기 이미징 시스템으로, 상기 제2 층 상의 상기 디바이스 피처들의 패턴과 상기 기준 피처들의 패턴 사이의 제2 층 패턴 배치 거리를 측정하는 단계;
    오버레이 계측 툴로, 상기 제1 층 및 상기 제2 층 상의 상기 기준 피처들의 패턴의 상대적인 위치에 기초하여 기준 오버레이를 측정하는 단계 - 상기 제1 층 상의 상기 기준 피처들의 패턴의 치수는 상기 제2 층을 통하여 상기 오버레이 계측 툴에 의하여 분해 가능하고, 상기 기준 피처들의 패턴은 상기 제1 층 및 상기 제2 층 상의 스캔 방향을 따라 주기적으로 분포된 2 개 이상의 세트들의 피처들을 포함함 -;
    상기 제1 층 패턴 배치 거리와 상기 제2 층 패턴 배치 거리 사이의 차이를 이용해 상기 기준 오버레이를 조정함으로써 상기 디바이스 피처들의 패턴에 대한 디바이스-관련 오버레이를 결정하는 단계; 및
    적어도 하나의 후속 노출의 노출 조건을 수정하기 위하여 리소그래피 시스템에 상기 디바이스-관련 오버레이에 기초하여 오버레이 커렉터블을 제공하는 단계
    를 포함하는, 오버레이 계측 방법.
KR1020207019440A 2017-12-07 2018-12-06 디바이스-상관 오버레이 계측을 위한 시스템 및 방법 KR102435141B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762595987P 2017-12-07 2017-12-07
US62/595,987 2017-12-07
US16/009,939 US10474040B2 (en) 2017-12-07 2018-06-15 Systems and methods for device-correlated overlay metrology
US16/009,939 2018-06-15
PCT/US2018/064145 WO2019113262A1 (en) 2017-12-07 2018-12-06 Systems and methods for device-correlated overlay metrology

Publications (2)

Publication Number Publication Date
KR20200086748A KR20200086748A (ko) 2020-07-17
KR102435141B1 true KR102435141B1 (ko) 2022-08-22

Family

ID=66696697

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207019440A KR102435141B1 (ko) 2017-12-07 2018-12-06 디바이스-상관 오버레이 계측을 위한 시스템 및 방법

Country Status (8)

Country Link
US (1) US10474040B2 (ko)
EP (1) EP3721294A4 (ko)
JP (1) JP7118152B2 (ko)
KR (1) KR102435141B1 (ko)
CN (1) CN111433676B (ko)
SG (1) SG11202002413UA (ko)
TW (1) TWI781259B (ko)
WO (1) WO2019113262A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11914290B2 (en) * 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11221561B2 (en) * 2020-01-14 2022-01-11 Kla Corporation System and method for wafer-by-wafer overlay feedforward and lot-to-lot feedback control
CN111458984A (zh) * 2020-03-13 2020-07-28 华中科技大学 一种套刻标记及测量配置的分步优化方法
CN115428139B (zh) * 2020-04-15 2024-04-12 科磊股份有限公司 可用于测量半导体装置偏移的具有装置级特征的偏移目标
US11460783B2 (en) * 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US11720031B2 (en) 2021-06-28 2023-08-08 Kla Corporation Overlay design for electron beam and scatterometry overlay measurements
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
EP4202552B1 (en) * 2021-12-24 2024-04-17 Imec VZW Method and structure for determining an overlay error
TWI809931B (zh) * 2022-04-08 2023-07-21 南亞科技股份有限公司 具有疊對標記之半導體元件結構的製備方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004287400A (ja) * 2002-11-01 2004-10-14 Asml Netherlands Bv 検査方法とデバイス製造方法
US20050068515A1 (en) * 2003-09-30 2005-03-31 Lothar Bauch Method for detecting positioning errors of circuit patterns during the transfer by means of a mask into layers of a substrate of a semiconductor wafer
JP2009510770A (ja) * 2005-09-30 2009-03-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド オーバーレイ精度とパターン配置誤差とを同時に測定する方法
JP2013534368A (ja) 2010-07-30 2013-09-02 ケーエルエー−テンカー コーポレイション プロセスツール修正値を提供するための方法およびシステム
JP2017040941A (ja) 2000-08-30 2017-02-23 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW588414B (en) 2000-06-08 2004-05-21 Toshiba Corp Alignment method, overlap inspecting method and mask
US6432591B1 (en) * 2000-08-30 2002-08-13 Micron Technology, Inc. Overlay target design method with pitch determination to minimize impact of lens aberrations
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6486954B1 (en) 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6949462B1 (en) * 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
TW200509355A (en) * 2003-04-08 2005-03-01 Aoti Operating Co Inc Overlay metrology mark
US7308368B2 (en) * 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
WO2007040855A1 (en) 2005-09-30 2007-04-12 Advanced Micro Devices, Inc. Structure and method for simultaneously determining an overlay accuracy and pattern placement error
US8181327B2 (en) 2008-02-08 2012-05-22 Zephyros, Inc Mechanical method for improving bond joint strength
US8214317B2 (en) 2009-08-17 2012-07-03 Pratt & Whitney Rocketdyne, Inc. Failure detection system risk reduction assessment
WO2012138758A1 (en) 2011-04-06 2012-10-11 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
US9097978B2 (en) 2012-02-03 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to characterize photolithography lens quality
US9188876B2 (en) * 2012-02-07 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of determining overlay error and control system for dynamic control of reticle position
US8860941B2 (en) * 2012-04-27 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tool induced shift reduction determination for overlay metrology
US9201312B2 (en) 2013-04-16 2015-12-01 Kla-Tencor Corporation Method for correcting position measurements for optical errors and method for determining mask writer errors
US9214317B2 (en) 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
TWI544288B (zh) * 2014-04-14 2016-08-01 台灣積體電路製造股份有限公司 疊對度量方法
KR102025214B1 (ko) * 2015-02-04 2019-09-25 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
CN106325001B (zh) * 2015-07-10 2019-01-22 中芯国际集成电路制造(上海)有限公司 套刻精度补偿方法及装置
EP3171396A1 (en) * 2015-11-18 2017-05-24 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of determining an overlay error, manufacturing method and system for manufacturing of a multilayer semiconductor device, and semiconductor device manufactured thereby
WO2018004511A1 (en) 2016-06-27 2018-01-04 Kla-Tencor Corporation Apparatus and method for the measurement of pattern placement and size of pattern and computer program therefor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017040941A (ja) 2000-08-30 2017-02-23 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2004287400A (ja) * 2002-11-01 2004-10-14 Asml Netherlands Bv 検査方法とデバイス製造方法
US20050068515A1 (en) * 2003-09-30 2005-03-31 Lothar Bauch Method for detecting positioning errors of circuit patterns during the transfer by means of a mask into layers of a substrate of a semiconductor wafer
JP2009510770A (ja) * 2005-09-30 2009-03-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド オーバーレイ精度とパターン配置誤差とを同時に測定する方法
JP2013534368A (ja) 2010-07-30 2013-09-02 ケーエルエー−テンカー コーポレイション プロセスツール修正値を提供するための方法およびシステム

Also Published As

Publication number Publication date
SG11202002413UA (en) 2020-06-29
EP3721294A1 (en) 2020-10-14
JP7118152B2 (ja) 2022-08-15
US20190179231A1 (en) 2019-06-13
US10474040B2 (en) 2019-11-12
TW201935148A (zh) 2019-09-01
TWI781259B (zh) 2022-10-21
CN111433676B (zh) 2022-08-05
WO2019113262A1 (en) 2019-06-13
JP2021505959A (ja) 2021-02-18
KR20200086748A (ko) 2020-07-17
EP3721294A4 (en) 2021-09-01
CN111433676A (zh) 2020-07-17

Similar Documents

Publication Publication Date Title
KR102435141B1 (ko) 디바이스-상관 오버레이 계측을 위한 시스템 및 방법
CN111801625B (zh) 叠加及边缘放置错误的计量及控制
KR102390311B1 (ko) 스캐닝 전자 빔 신호의 대칭에 기반한 오버래핑 타겟 구조물의 오버레이 측정
JP7446447B2 (ja) 複合的オーバレイ計測ターゲット
US20240035812A1 (en) Metrology target for one-dimensional measurement of periodic misregistration
US11209737B1 (en) Performance optimized scanning sequence for eBeam metrology and inspection

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant