CN111433676A - 用于装置相关叠加计量的系统及方法 - Google Patents

用于装置相关叠加计量的系统及方法 Download PDF

Info

Publication number
CN111433676A
CN111433676A CN201880077786.3A CN201880077786A CN111433676A CN 111433676 A CN111433676 A CN 111433676A CN 201880077786 A CN201880077786 A CN 201880077786A CN 111433676 A CN111433676 A CN 111433676A
Authority
CN
China
Prior art keywords
layer
overlay
pattern
additional
feature pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880077786.3A
Other languages
English (en)
Other versions
CN111433676B (zh
Inventor
F·拉斯克
U·铂尔曼
S·艾林
N·古特曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN111433676A publication Critical patent/CN111433676A/zh
Application granted granted Critical
Publication of CN111433676B publication Critical patent/CN111433676B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electromagnetism (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Polyamides (AREA)

Abstract

一种叠加计量系统可测量样本上的叠加目标的第一层上的装置特征图案与参考特征图案之间的第一层图案放置距离。所述系统可进一步继制造至少包含所述装置特征图案及所述参考特征图案的第二层之后测量所述第二层上的所述装置特征图案与所述参考特征图案之间的第二层图案放置距离。所述系统可进一步基于所述第一层及所述第二层上的所述参考特征图案的相对位置测量参考叠加。所述系统可进一步通过用所述第一层图案放置距离与所述第二层图案放置距离之间的差调整所述参考叠加而确定所述装置级特征图案的装置相关叠加。

Description

用于装置相关叠加计量的系统及方法
相关申请案的交叉参考
本申请案根据35U.S.C.§119(e)规定主张在2017年12月7日申请的以弗兰克·拉斯克(Frank Laske)、乌尔里希·波尔曼(Ulrich Pohlmann)、斯特凡·艾林(StefanEyring)及纳达夫·古特曼(Nadav Gutman)为发明人的标题为使用高分辨率系统测量装置叠加的特殊目标结构(SPECIAL TARGET STRUCTURES TO MEASURE DEVICE OVERLAY USINGA HIGH RESOLUTION SYSTEM)的序列号为62/595,987的美国临时申请案的权利,所述申请案的全文以引用的方式并入本文中。
技术领域
本发明大体上涉及叠加计量,且更特定来说,涉及用于装置相关叠加计量的叠加计量目标。
背景技术
半导体制造通常需要在结构上制造多个层,其中一些或全部层包含图案化特征。叠加计量是样本的各个层上的结构的相对位置的测量,所述相对位置对经制造装置的性能是关键的且通常必须被控制在紧密公差内。举例来说,叠加计量可测量不同样本层上的特征的相对位置作为制造工具的逐层对准的度量。
并非全部装置特征布局都适于直接叠加测量。此外,叠加测量可损害或以其它方式影响装置特征的性能。因此,叠加测量通常在具有经设计用于敏感叠加测量的特征的专用叠加目标上而非直接在装置特征上执行。然而,叠加目标的样本上相对于装置特征的大小、定向、密度及/或位置差异可引入目标处的经测量叠加与装置特征的实际叠加之间的失配。举例来说,叠加目标的不同层上的特征通常在空间上分离以避免重叠且有利于埋藏层上的特征的测量。然而,与空间上分离的特征相关联的开放区域可能与微电子制造不兼容。此外,装置特征通常包含堆叠结构,使得空间上分离特征的叠加测量可引入测量误差。
因此,确保叠加目标上的装置相关叠加测量在叠加计量中仍然是持续挑战。
发明内容
揭示一种根据本发明的一或多个阐释性实施例的叠加计量系统。在一个阐释性实施例中,所述系统包含控制器,所述控制器用于测量样本上的叠加目标的第一层上的装置特征图案与参考特征图案之间的第一层图案放置距离。在另一阐释性实施例中,所述控制器继制造至少包含所述装置特征图案及所述参考特征图案的第二层之后测量所述第二层上的所述装置特征图案与所述参考特征图案之间的第二层图案放置距离。在另一阐释性实施例中,所述控制器基于所述第一层及所述第二层上的所述参考特征图案的相对位置测量参考叠加,其中可通过成像系统穿过所述第二层分辨所述第一层上的所述参考特征图案的尺寸。在另一阐释性实施例中,所述控制器通过用所述第一层图案放置距离与所述第二层图案放置距离之间的差调整所述参考叠加而确定所述装置级特征图案的装置相关叠加。
揭示一种根据本发明的一或多个阐释性实施例的叠加计量系统。在一个阐释性实施例中,所述系统包含成像系统。在另一阐释性实施例中,所述系统包含通信耦合到所述成像系统的控制器。在另一阐释性实施例中,所述控制器在制造样本上的叠加目标的第一层之后测量所述第一层上的装置特征图案与参考特征图案之间的第一层图案放置距离。在另一阐释性实施例中,所述控制器继制造至少包含所述装置特征图案及所述参考特征图案的第二层之后测量所述第二层上的所述装置特征图案与所述参考特征图案之间的第二层图案放置距离。在另一阐释性实施例中,所述控制器基于所述第一层及所述第二层上的所述参考特征图案的相对位置测量参考叠加,其中可通过所述成像系统穿过所述第二层分辨所述第一层上的所述参考特征图案的尺寸。在另一阐释性实施例中,所述控制器通过用所述第一层图案放置距离与所述第二层图案放置距离之间的差调整所述参考叠加而确定所述装置级特征图案的装置相关叠加。
揭示一种根据本发明的一或多个阐释性实施例的叠加计量方法。在一个阐释性实施例中,所述方法包含在样本上制造至少包含装置特征图案及参考特征图案的叠加目标的第一层。在另一阐释性实施例中,所述方法包含继制造所述第一层之后,运用成像系统测量所述第一层上的所述装置特征图案与所述参考特征图案之间的第一层图案放置距离。在另一阐释性实施例中,所述方法包含在所述样本上制造至少包含所述装置特征图案及所述参考特征图案的所述叠加目标的第二层。在另一阐释性实施例中,所述方法包含继制造所述第二层之后,运用所述成像系统测量所述第二层上的所述装置特征图案与所述参考特征图案之间的第二层图案放置距离。在另一阐释性实施例中,所述方法包含运用叠加计量工具基于所述第一层及所述第二层上的所述参考特征图案的相对位置测量参考叠加,其中可通过所述叠加计量工具穿过所述第二层分辨所述第一层上的所述参考特征图案的尺寸。在另一阐释性实施例中,所述方法包含通过用所述第一层图案放置距离与所述第二层图案放置距离之间的差调整所述参考叠加而确定所述装置级特征图案的装置相关叠加。在另一阐释性实施例中,所述方法包含将基于所述装置相关叠加的叠加可校正值提供到光刻系统以修改至少一个后续曝光的曝光条件。
应理解,前述一般描述及以下详细描述两者都仅为示范性的及说明性的且不一定限制如所主张的本发明。并入于本说明书中且构成本说明书的一部分的附图说明本发明的实施例且与一般描述一起用于说明本发明的原理。
附图说明
所属领域的技术人员通过参考附图可更好地理解本发明的许多优点,在附图中:
图1A是根据本发明的一或多个实施例的适用于装置相关计量测量的叠加计量系统的概念图。
图1B是根据本发明的一或多个实施例的基于粒子的叠加计量工具的概念图。
图1C是根据本发明的一或多个实施例的光学叠加计量工具的概念图。
图2A包含根据本发明的一或多个实施例的装置相关叠加目标的俯视图。
图2B包含根据本发明的一或多个实施例的装置相关叠加目标的剖面图。
图2C是根据本发明的一或多个实施例的适用于扫描测量的叠加目标的周期性分布参考特征的俯视图。
图3是根据本发明的一或多个实施例的复合装置相关叠加目标的概念图。
图4是说明根据本发明的一或多个实施例的在用于测量装置相关叠加的方法中执行的步骤的流程图。
具体实施方式
现将详细参考在附图中说明的所揭示标的物。已关于特定实施例及其具体特征特别展示且描述本发明。本文中阐述的实施例被视为阐释性的而非限制性的。所属领域的一般技术人员应容易明白,可在不脱离本发明的精神及范围的情况下对形式及细节进行各种改变及修改。
本发明的实施例涉及用于使用具有堆叠装置级特征的叠加目标基于层内及层间测量的组合测量装置相关叠加的系统及方法。举例来说,叠加目标可包含多个层上的堆叠装置级特征,使得装置相关叠加测量可基于装置级特征的相对位置。然而,对堆叠装置级特征的相对位置的直接测量可为不切实际的或不合需要的。
在本文中应认识到,半导体装置可形成为衬底上的多个经印刷图案化材料层。可通过一系列过程步骤(例如但不限于一或多个材料沉积步骤、一或多个光刻步骤或一或多个蚀刻步骤)制造每一印刷层。此外,必须在特定公差内制造每一印刷层以正确地构造最终装置。举例来说,必须良好地特性化且控制每一层中的印刷元件的印刷特性,例如但不限于线宽、侧壁角及相对放置。因此,可将计量目标制造于一或多个印刷层上以实现对制造工艺的有效率特性化。在此方面,印刷层上的计量目标的印刷特性的偏差可表示所述层上的全部印刷元件(包含形成半导体装置的一部分的装置特征)的印刷特性的偏差。
本文中应认识到,各种叠加计量工具可用于测量叠加。举例来说,光学计量工具(例如,使用电磁辐射用于照明及/或检测的基于光的计量工具)可提供使用许多技术的高处理量叠加测量,所述技术例如但不限于确定图像中的多个层上的空间上分离的特征的相对位置、直接测量多个层上的图案放置误差(PPE),或其中基于从多个层上的衍射光栅散射及/或衍射的光确定叠加的散射测量。出于本发明的目的,术语“光学计量工具”、“光学计量技术”及类似者指示使用任何波长(例如但不限于x射线波长、极紫外线(EUV)波长、真空紫外线(VUV)波长、深紫外线(DUV)波长、紫外线(UV)波长、可见光波长或红外线(IR)波长)的电磁辐射的计量工具及技术。然而,光学计量工具的分辨率限制通常需要大于装置级特征的特征大小,这可引入光学计量测量与所关注装置特征上的实际叠加之间的系统性误差(取决于照明源的波长)。通过另一实例,基于粒子的计量工具(例如但不限于扫描电子显微镜(SEM)计量工具(例如,临界尺寸SEM(CD-SEM)或类似者)或聚焦离子束(FIB)计量工具)可分辨装置级特征。此外,离子束计量工具可具有基于粒子穿透深度同时测量多个样本层上的特征的有限能力。举例来说,低能粒子束可用于特性化顶部层(例如,当前层),而相对高能的粒子束可更深地穿透到样本中以特性化先前制造的层上的特征。然而,许多基于粒子的计量工具与光学计量工具相比可具有相对较低处理量且可在测量期间潜在地诱发对一或多个层的损害。用于叠加测量的系统及方法大体上描述于以下各者中:标题为“叠加标记、叠加标记设计的方法及叠加测量的方法(OVERLAY MARKS,METHODS OF OVERLAY MARKDESIGN AND METHODS OF OVERLAY MEASUREMENTS)”且在2012年12月11日发布的第8,330,281号美国专利;标题为“周期性图案及控制两个层之间的未对准的技术(PERIODICPATTERNS AND TECHNIQUE TO CONTROL MISALIGNMENT BETWEEN TWO LAYERS)”且在2016年10月25日发布的第9,476,698号美国专利;标题为“用于确定具有旋转或镜像对称的结构的叠加的设备和方法(APPARATUS AND METHODS FOR DETERMINING OVERLAY OF STRUCTURESHAVING ROTATIONAL OR MIRROR SYMMETRY)”且在2009年6月2日发布的第7,541,201号美国专利;标题为“使用散色测量检测叠加误差的设备及方法(APPARATUS AND METHOD FORDETECTING OVERLAY ERRORS USING SCATTEROMETRY)”且在2004年9月2日发表的第2014/0169861号美国专利公开案;标题为“用于提供质量测度以改进工艺控制的方法及系统(METHOD AND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESSCONTROL)”且在2013年2月7日发表的第2013/0035888号美国专利公开案;在2015年12月15日发布的标题为“SEM叠加计量的系统及方法(SYSTEM AND METHOD OF SEM OVERLAYMETROLOGY)”的第9,214,317号美国专利;及标题为“用于测量图案放置及图像大小的设备及方法及其计算机程序(APPARATUS AND METHOD FOR THE MEASUREMENT OF PATTERNPLACEMENT AND SIZE OF PATTERN AND COMPUTER PROGRAM THEREFOR)”且在2016年6月27日申请的第PCT/US2016/039531号PCT申请案,上述所有文献的全文以引用的方式并入本文中。
本发明的实施例涉及用于使用装置级特征及参考特征的层内测量外加参考特征的层间叠加测量测量装置相关叠加的系统及方法。因此,叠加目标的层可包含适用于层内测量的装置级特征以及适用于层内及层间测量两者的参考特征。此外,本发明的实施例涉及适于测量任何数目个样本层(例如,两个或更多个样本层)的叠加的叠加目标。
因此,装置相关叠加(OVLdevice)可为(但不要求)如下表示:
OVLdevice=OVLref+PPE, (1)
其中OVLref是参考特征的层间叠加测量且PPE是与每一所关注层中分离装置级特征及参考特征的层内图案放置距离之间的差相关联的图案放置误差(PPE)。举例来说,第一层与第二层之间的装置相关叠加测量可基于在制造第一层之后对第一层上的选定装置级特征与选定参考特征之间的距离D1的测量、在制造第二层之后对第二层上的选定装置级特征与选定参考特征之间的距离D2的测量及第一及第二层上的参考特征的遍及目标叠加测量OVLref而确定。因此,装置相关叠加可表达为:
OVLdevice=OVLref+PPE=OVLref+(D2-D1)。 (2)
参考特征可具有任何尺寸且可经设计用于所属领域中已知的任何类型的叠加测量,例如但不限于光学叠加测量、基于粒子的叠加测量或PPE测量。在此方面,参考特征可具有不同于装置级特征的尺寸以有利于层间叠加测量。举例来说,适用于光学测量的参考特征可具有选择为大于选定光学计量工具的光学分辨率的尺寸。
通过另一实例,适用于基于粒子的测量的参考特征可具有选择为大于每一层处的选定基于粒子的计量工具的分辨率的尺寸。本文中应认识到,归因于粒子-样本相互作用(例如但不限于材料中的粒子散射),基于粒子的计量系统对于表面层级特征的分辨率可比对于定位于表面下层上的表面下特征更高。因此,情况可为基于粒子的计量系统可准确地分辨表面层上的装置级特征,但尤其是在表面下特征堆叠于表面层级特征下方的情况下无法准确地分辨(例如,在选定公差内)表面下层上的装置级特征。在此方面,任何层上的参考特征的尺寸可选择为可通过计量系统在经识别公差内分辨。
图案放置误差PPE可受多种因素影响。举例来说,如本文中先前描述,特征在光刻工具的曝光场内的放置可受特征的大小、形状、密度及/或定向影响。因此,给定层上的参考特征与装置级特征之间的任何差异可导致图案放置误差。通过另一实例,场内制造误差可受曝光步骤期间的光刻工具中的像差(例如但不限于光刻工具中因热引起的透镜像差或扰动)影响。
应理解,上文表达两个样本层之间的叠加的方程式(2)及相关联描述仅经提供用于阐释性目的且不应被解释为限制性的。如本文中先前描述,可针对任何数目个样本层产生装置相关叠加。举例来说,方程式(1)及/或(2)的装置相关叠加可表示一个多层叠加目标的任两个层之间的叠加。在此方面,可针对任何数目个样本层产生参考特征的遍及目标叠加测量(OVLref)及PPE测量以提供多层装置相关叠加测量。
本发明的额外实施例涉及具有多个装置级特征图案及一或多个共同参考特征的计量目标。在此方面,共同参考叠加测量(OVLref)可用于确定多个装置级特征图案中的每一者的装置相关叠加,这可有利于高处理量叠加测量。
本发明的额外实施例涉及包含重复组的参考特征的叠加目标。举例来说,叠加目标可包含定位于多个层中的周期性分布参考特征。在此方面,可基于重复特征的多个测量确定参考叠加(OVLref)。此外,重复特征可有利于叠加目标上的减少的每单位面积沉积的能量及因此叠加测量期间损害的减小的可能性。
本发明的进一步实施例涉及基于装置相关叠加测量产生装置相关叠加可校正值。接着,叠加可校正值可作为反馈及/或前馈数据提供到制造工具(例如,光刻工具)。举例来说,与当前过程步骤相关联的在样本上测量的叠加测量可用于补偿漂移且针对相同或后续批次中之后续样本上的过程步骤将叠加维持在选定公差内。通过另一实例,可前馈与当前过程步骤相关联的叠加测量以调整后续过程步骤而补偿任何经测量叠加误差。
图1A是根据本发明的一或多个实施例的适用于装置相关计量测量的叠加计量系统100的概念图。在一个实施例中,叠加计量系统100包含适于测量层内图案放置距离以及堆叠叠加目标特征的层间叠加测量的至少一个叠加计量工具102。
在另一实施例中,叠加计量系统100包含控制器104。在另一实施例中,控制器104包含经配置以执行维持于存储器媒体108上的程序指令的一或多个处理器106。在此方面,控制器104的一或多个处理器106可执行在本发明各处描述的各种过程步骤中的任一者。举例来说,控制器104可从叠加计量工具102接收数据且可进一步产生装置相关叠加数据。通过另一实例,控制器104可基于来自叠加计量工具102的数据产生装置相关叠加可校正值。
此外,控制器104可通信地耦合到一或多个外部制造工具,例如但不限于光刻工具。在此方面,控制器104可作为适于控制外部制造工具的输入以将叠加维持在选定叠加公差内的先进工艺控制器(APC)操作。
控制器104的一或多个处理器106可包含所属领域中已知的任何处理元件。在此意义上,一或多个处理器106可包含经配置以执行算法及/或指令的任何微处理器型装置。在一个实施例中,一或多个处理器106可包括以下各者:桌上型计算机、主机计算机系统、工作站、图像计算机、平行处理器,或经配置以执行如在本发明各处描述的经配置以操作叠加计量系统100的程序的任何其它计算机系统(例如,网络计算机)。进一步应认识到,术语“处理器”可广泛定义为涵盖具有执行来自非暂时性存储器媒体108的程序指令的一或多个处理元件的任何装置。此外,可通过单个控制器104或者多个控制器实行在本发明各处描述的步骤。另外,控制器104可包含容置于共同外壳中或在多个外壳内的一或多个控制器。以此方式,任何控制器或控制器组合可单独地封装为适于集成到叠加计量系统100中的模块。
存储器媒体108可包含所属领域中已知的适于存储可由相关联的一或多个处理器106执行的程序指令的任何存储媒体。举例来说,存储器媒体108可包含非暂时性存储器媒体。通过另一实例,存储器媒体108可包含但不限于只读存储器(ROM)、随机存取存储器(RAM)、磁性或光学存储器装置(例如,磁碟)、磁带、固态驱动器及类似者。进一步应注意,存储器媒体108可与一或多个处理器106容置于共用控制器外壳中。在一个实施例中,存储器媒体108可相对于一或多个处理器106及控制器104的物理位置远端定位。举例来说,控制器104的一或多个处理器106可存取可通过网络(例如,因特网、内部网络及类似者)存取的远端存储器(例如,服务器)。因此,上文描述不应被解释为对本发明的限制,而是仅为说明。
叠加计量工具102可包含所属领域中已知的适于特性化层内图案放置距离及/或层间叠加测量的任何类型的计量工具。举例来说,叠加计量工具102可用照明束照明样本且可进一步收集响应于照明束而从样本放射的辐射。照明束可包含适于探测样本的任何类型的照明束,例如但不限于光束(例如,光子)、电子束或离子束。此外,从样本放射的辐射可包含光子、电子、离子、中性粒子或类似者。因此,叠加计量工具102可包含光学计量工具、电子束计量工具、离子束计量工具或类似者。
叠加计量工具102可进一步在成像模式或非成像模式中操作。举例来说,在成像模式中操作的叠加计量工具102可照明大于系统分辨率的样本的一部分且在检测器上捕获样本的经照明部分的一或多个图像。经捕获图像可为所属领域中已知的任何类型的图像,例如但不限于亮场图像、暗场图像、位相差图像(phase-contrast image)或类似者。此外,经捕获图像可拼接在一起(例如,通过控制器104或类似者)以形成样本的复合图像。通过另一实例,叠加计量工具102可同时或循序使用多个检测器产生样本的多个图像。举例来说,叠加计量工具102可从不同角度产生样本的图像。在另一例子中,叠加计量工具102可使用不同射束能量(例如,粒子束能量、光强度、波长或类似者)产生样本的图像。通过另一实例,叠加计量工具102可用聚焦束跨样本扫描且在一或多个检测器上以一或多个测量角捕获从样本放射的辐射及/或粒子以产生图像。可通过修改射束路径及/或通过使样本平移通过聚焦束的焦体积而用聚焦束跨样本扫描。举例来说,粒子束可使用受控电磁场(例如,使用一或多个射束偏转器或类似者产生)扫描。在另一例子中,光束可使用扫描镜(例如,振镜(galvomirror)、压电镜或类似者)扫描。
现参考图1B及1C,描述叠加计量工具102的各种实施例。举例来说,叠加计量工具102可包含(但不要求包含)基于粒子的叠加计量工具102a及/或光学叠加计量工具102b。
图1B是根据本发明的一或多个实施例的基于粒子的叠加计量工具102a的概念图。基于粒子的叠加计量工具102a可包含适于分辨装置特征或装置级特征的任何类型的计量工具,例如但不限于电子束计量工具(例如,SEM、CD-SEM或类似者)或离子束计量工具(例如,聚焦离子束(FIB)计量工具)。
在一个实施例中,基于粒子的叠加计量工具102a粒子源110(例如,电子束源、离子束源或类似者)以产生粒子束112(例如,电子束、粒子束或类似者)。粒子源110可包含所属领域中已知的适于产生粒子束112的任何粒子源。举例来说,粒子源110可包含但不限于电子枪或离子枪。在另一实施例中,粒子源110经配置以提供具有可调谐能量的粒子束。举例来说,包含电子源的粒子源110可(但不限于)提供在0.1kV到30kV的范围内的加速电压。作为另一实例,包含离子源的粒子源110可(但不要求)提供具有在1到50keV的范围内的能量的离子束。
在另一实施例中,基于粒子的叠加计量工具102a包含一或多个粒子聚焦元件114。举例来说,一或多个粒子聚焦元件114可包含但不限于形成复合系统的单个粒子聚焦元件或一或多个粒子聚焦元件。在另一实施例中,一或多个粒子聚焦元件114包含经配置以将粒子束112引导到定位于样本台120上的样本118的粒子物镜116。此外,一或多个粒子源110可包含所属领域中已知的任何类型的电子透镜,包含但不限于静电、磁性、单电位或双电位透镜。
在另一实施例中,基于粒子的叠加计量工具102a包含至少一个粒子检测器122以成像或以其它方式检测从样本118放射的粒子。在一个实施例中,粒子检测器122包含电子收集器(例如,一个二次电子收集器、反向散射电子检测器或类似者)。在另一实施例中,粒子检测器122包含用于检测来自样本表面的电子及/或光子的光子检测器(例如,光检测器、x射线检测器、耦合到光电倍增管(PMT)检测器的闪烁元件或类似者)。
应理解,如图1B中描绘的基于粒子的叠加计量工具102a的描述及上文相关联描述仅经提供用于阐释性目的且不应被解释为限制性的。举例来说,基于粒子的叠加计量工具102a可包含适于同时询问样本118的多射束及/或多柱系统。在又一实施例中,基于粒子的叠加计量工具102a可包含经配置以将一或多个电压施加到样本118的一或多个位置的一或多个组件(例如,一或多个电极)。在此方面,基于粒子的叠加计量工具102a可产生电压对比成像数据。
本文中应认识到,粒子束112在样本118中的穿透深度可取决于粒子能量,使得较高能量射束通常更深地穿透到样本中。在一个实施例中,基于粒子的叠加计量工具102a利用不同粒子能量基于粒子束112到样本118中的穿透深度询问装置的不同层。举例来说,基于粒子的叠加计量工具102a可利用相对低能量的电子束(例如,大约1keV或更低)且可利用较高能量射束(例如,大约10keV或更高)来特性化先前制造的层。本文中应认识到,依据粒子能量而变化的穿透深度可针对不同材料变化,使得针对特定层的粒子能量的选择可针对不同材料而变化。
图1C是根据本发明的一或多个实施例的光学叠加计量工具102b的概念图。光学叠加计量工具102b可包含所属领域中已知的适于产生与样本的两个或更多个层相关联的叠加数据的任何类型的光学叠加计量工具。
在一个实施例中,光学叠加计量工具102b包含光学照明源124以产生光学照明束126。光学照明束126可包含一或多个选定波长的光,包含但不限于紫外(UV)光、可见光或红外(IR)光。
光学照明源124可为所属领域中已知的适于产生光学照明束126的任何类型的照明源。
光学照明源124可包含适于提供光学照明束126的任何类型的照明源。在一个实施例中,光学照明源124是激光源。举例来说,光学照明源124可包含但不限于一或多个窄带激光源、宽带激光源、超连续激光源、白光激光源或类似者。在此方面,光学照明源124可提供具有高相干性(例如,高空间相干性及/或时间相干性)的光学照明束126。在另一实施例中,光学照明源124包含激光维持等离子体(LSP)源。举例来说,光学照明源124可包含但不限于LSP灯、LSP灯泡或适于容纳一或多个元件的LSP腔室,其在通过激光源激发为等离子体状态时可发射宽带照明。在另一实施例中,光学照明源124包含灯源。举例来说,光学照明源124可包含但不限于弧光灯、放电灯、无电极灯或类似者。在此方面,光学照明源124可提供具有低相干性(例如,低空间相干性及/或时间相干性)的光学照明束126。
在另一实施例中,光学照明源124经由照明路径128将光学照明束126引导到样本118。照明路径128可包含适于修改及/或调节光学照明束126的一或多个照明路径透镜130或额外光学组件132。举例来说,一或多个光学组件132可包含但不限于一或多个偏光器、一或多个滤光片、一或多个光束分离器、一或多个漫射体、一或多个均质器、一或多个变迹器或一或多个光束整形器。照明路径128可进一步包含经配置以将光学照明束126引导到样本118的物镜134。
在另一实施例中,样本118安置于样本台120上。样本台120可包含适于定位及/或扫描光学叠加计量工具102b内的样本118的任何装置。举例来说,样本台120可包含线性平移台、旋转台、翻转/倾斜台或类似者的任何组合。
在另一实施例中,光学叠加计量工具102b包含经配置以通过收集路径138捕获从样本118放射的光的检测器136。收集路径138可包含但不限于用于收集来自样本118的光的一或多个收集路径透镜140。举例来说,检测器136可经由一或多个收集路径透镜140接收从样本118反射或散射(例如,经由镜面反射、漫反射及类似者)的光。通过另一实例,检测器136可接收通过样本118产生的光(例如,与光学照明束126的吸收相关联的发光或类似者)。通过另一实例,检测器136可接收来自样本118的一或多个衍射阶的光(例如,0级衍射、±1级衍射、±2级衍射及类似者)。
检测器136可包含所属领域中已知的适于测量从样本118接收的照明的任何类型的检测器。举例来说,检测器136可包含但不限于CCD检测器、TDI检测器、光电倍增管(PMT)、雪崩光二极管(APD)或类似者。在另一实施例中,检测器136可包含适于识别从样本118放射的光的波长的光谱检测器。
收集路径138可进一步包含用于引导及/或修改从样本118收集的照明的任何数目个光学元件,包含但不限于一或多个收集路径透镜140、一或多个滤光片、一或多个偏光器或一或多个光束挡板。
在一个实施例中,检测器136定位成近似法向于样本118的表面。在另一实施例中,光学叠加计量工具102b包含光束分离器142,光束分离器142经定向使得物镜134可同时引导光学照明束126到样本118且收集从样本118放射的光。此外,照明路径128及收集路径138可共享一或多个额外元件(例如,物镜134、孔隙、滤光片或类似者)。
光学叠加计量工具102b可基于所属领域中已知的任何技术测量叠加,所述技术例如但不限于基于成像的技术或基于散射测量的技术。此外,光学叠加计量工具102b可通过使光学照明束126传播穿过表面层以与一或多个先前制造层上的特征相互作用而基于样本118的任何层上的特征测量叠加。
在另一实施例中,叠加计量工具102包含适用于样本层上的各种特征的图案放置距离(或图案放置误差)的测量的边缘放置计量工具。举例来说,可严格监测样本台120的位置以在大视场内提供准确定位结果。此外,本文中应认识到,基于监测样本台120的实际位置的定位准确度(与仅基于对样本台120自身的控制的定位准确度相反)可仅受监测准确度限制。在一个例子中(但未展示),使用干涉仪监测样本台120沿一或多个方向的位置,这可提供(但不要求提供)纳米或亚纳米准确度。此外,包含边缘放置计量工具的叠加计量工具102可基于任何类型的成像技术,例如但不限于光学或粒子束成像。使用边缘放置计量对结构的位置及尺寸的测量大体上描述于以下各者中:在2018年1月4日发表的标题为“用于测量图案放置及图像大小的设备及方法及其计算机程序(APPARATUS AND METHOD FOR THEMEASUREMENT OF PATTERN PLACEMENT AND SIZE OF PATTERN AND COMPUTER PROGRAMTHEREFOR)”的第WO2018/004511号国际公开案;及在2017年7月11日发布的标题为“用于校正光学误差的位置测量的方法及用于确定掩模写入器误差的方法(METHOD FORCORRECTING POSITION MEASUREMENTS FOR OPTICAL ERRORS AND METHOD FORDETERMINING MASK WRITER ERRORS)”的第9,704,238号美国专利,所述文献两者的全文以引用的方式并入本文中。
在另一实施例中,叠加计量系统100包含多个叠加计量工具102。举例来说,叠加计量系统100可包含适于测量装置级特征的层内图案放置距离的第一叠加计量工具102及适于测量层间叠加的第二叠加计量系统100。此外,多个叠加计量工具102可具有不同操作原理。举例来说,适于测量装置级特征的层内图案放置距离的叠加计量工具102可包含具有足以分辨装置级特征的分辨率的基于粒子的计量工具。通过另一实例,适于测量层间叠加的叠加计量工具102可包含基于粒子的计量工具或光学计量工具。
图2A包含根据本发明的一或多个实施例的装置相关叠加目标200的俯视图202。图2B包含根据本发明的一或多个实施例的装置相关叠加目标200的剖面图204。在一个实施例中,装置相关叠加目标200包含样本118的多个层上的特征。举例来说,如在剖面图204中说明,装置相关叠加目标200可包含第一层206及在第一层206的顶部上且继第一层206之后制造的第二层208上的特征。
在另一实施例中,装置相关叠加目标200包含各所关注层上的装置级特征及参考特征两者。举例来说,装置相关叠加目标200可包含第一层装置级特征图案210a及第二层装置级特征图案210b。类似地,装置相关叠加目标200可包含第一层参考特征图案212a及第二层参考特征图案212b。
在另一实施例中,装置相关叠加目标200包含堆叠(例如,重叠)图案。举例来说,如在剖面图204中说明,第二层装置级特征图案210b可堆叠于第一层装置级特征图案210a上,使得装置相关叠加214可对应于第二层装置级特征图案210b相对于第一层装置级特征图案210a的相对位移。通过另一实例,第二层参考特征图案212b可堆叠于第一层参考特征图案212a上,使得参考叠加216可对应于第二层参考特征图案212b相对于第一层参考特征图案212a的相对位移。
在一个实施例中,第一层206及第二层208包含相同特征图案。举例来说,第一层装置级特征图案210a可跨装置相关叠加目标200与第二层装置级特征图案210b大体上相同。类似地,第一层参考特征图案212a可跨装置相关叠加目标200与第二层参考特征图案212b大体上相同。在另一实施例中,第一层206及第二层208包含不同特征图案。举例来说,第二层208上的特征可按不同于第一层206上的特征的周期制造。在一个例子中,如通过俯视图202说明,第二层208中的特征(例如,第二层装置级特征图案210b及第二层参考特征图案212b)可按两倍于第一层206上的特征(例如,第一层装置级特征图案210a及第一层参考特征图案212a)的周期制造。在此方面,第一层上的特征可在俯视图202中交替可见或被覆盖。通过另一实例,第一层装置级特征图案210a及第二层装置级特征图案210b可经制造具有不同特性,例如但不限于特征尺寸(例如,临界尺寸、长度、宽度及/或高度)、侧壁角或定向。
在另一实施例中,在距参考特征的标称选定距离(例如,图案放置距离)处制造装置级特征。然而,如本文中先前描述,场内误差可导致装置级特征与参考特征的相对放置的变动。举例来说,如在剖面图204中说明,与第一层装置级特征图案210a与第一层参考特征图案212a的选定部分之间的分离相关联的第一层图案放置距离218可不同于与第二层装置级特征图案210b与第二层参考特征图案212b的选定部分之间的分离相关联的第二层图案放置距离220。
因此,如在方程式1中描述,装置相关叠加214(OVLdevice)可依据参考叠加216(OVLref)及与第一层图案放置距离218与第二层图案放置距离220之间的差相关联的PPE特性化。
可使用所属领域中已知的任何技术来确定图案放置距离(例如,第一层图案放置距离218及第二层图案放置距离220)。举例来说,可通过分析(例如,用控制器104)由具有足以分辨装置级特征的分辨率的基于粒子的计量工具(例如,基于粒子的叠加计量工具102a)产生的装置相关叠加目标200的至少一部分的图像而确定图案放置距离。
此外,参考叠加216(OVLref)可使用所属领域中已知的任何技术确定。举例来说,可通过分析(例如,用控制器104)由具有足以分辨参考特征的分辨率的基于粒子的计量工具(例如,基于粒子的叠加计量工具102a)产生的装置相关叠加目标200的至少一部分的图像而确定参考叠加216。通过另一实例,可使用光学计量工具(例如,光学叠加计量工具102b)确定参考叠加216。举例来说,控制器104可分析多个所关注层上的参考特征的光学图像。在另一例子中,控制器104可使用对与光学照明束126与第一层参考特征图案212a及第二层参考特征图案212b两者的相互作用相关联的衍射图案的基于模型的分析来确定参考叠加216。
在另一实施例中,参考特征图案(例如,第一层参考特征图案212a及第二层参考特征图案212b)可沿扫描方向周期性地分布。重复参考特征可沿扫描方向提供参考叠加216的多个测量点,这与单个测量相比可减少随机测量噪声且因此增加测量准确度。相反地,重复参考特征可减少在叠加测量期间产生选定精度的叠加测量所需的样本上的剂量(例如,与粒子束112、光学照明束126或类似者相关联)。此外,减少叠加测量所需的剂量可减轻充电效应以及光致抗蚀剂收缩效应,这可进一步增加测量精度。
图2C是根据本发明的一或多个实施例的适用于扫描测量的叠加目标(例如,装置相关叠加目标200或类似者)的周期性分布参考特征的俯视图222。在一个实施例中,周期性分布的第一层特征224沿扫描方向226定位于样本(例如,样本118)的第一层上。在另一实施例中,周期性分布的第二层特征228沿扫描方向226定位于样本的第二层上。此外,如在图2C中说明,第二层特征228可堆叠在第一层特征224上使得成像射束(例如,粒子束112、光学照明束126或类似者)的扫描带230可同时成像第一层特征224及第二层特征228两者。
参考特征可具有选择为大于选定叠加计量工具(例如,基于粒子的叠加计量工具102a、光学叠加计量工具102b或类似者)的分辨率的尺寸,使得所述特征可通过叠加计量工具分辨。举例来说,第一层特征224沿扫描方向226的长度232、第二层特征228沿扫描方向226的长度234及/或沿扫描方向226的节距236可经选择使得选定叠加计量工具可分辨第一层特征224及第二层特征228。
可进一步分段任何层上的参考特征。举例来说,叠加目标的全部层上的参考特征可按可分辨节距236周期性地分布,且目标的任何层上的参考特征可按装置级节距238进一步分段以提供与层的设计规则的程序兼容性且最小化层上的参考特征与装置特征之间的系统性放置误差。如本文中先前描述,基于粒子的叠加计量工具102a对于表面下特征(例如,第一层特征224)的分辨率可相对于表面层级特征(例如,第二层特征228)更低,使得叠加计量工具可能无法分辨按装置级节距238分离的片段。然而,只要节距236、第一层特征224的长度232及第二层特征228的长度234可分辨,便可测量参考叠加216。
装置相关叠加目标200可进一步经配置以有利于在多个方向上进行叠加测量。举例来说,剖面图204说明沿X方向的叠加的确定。在一个实施例中,如通过俯视图202说明,装置相关叠加目标200包含适用于沿X方向的叠加测量的沿X方向定向的第一组特征240及适用于沿Y方向的叠加测量的沿Y方向定向的第二组特征242。
装置相关叠加目标可额外地包含多组不同装置级特征图案及/或多组不同参考特征图案。出于本发明的目的,将此叠加目标称为复合叠加目标。本文中应认识到,经制造层可包含具有变化尺寸、密度及/或定向的装置特征(例如,其形成半导体装置的部分)。因此,装置特征的变化尺寸、密度及/或定向可导致变化的图案放置误差及因此变化的装置上叠加。在一个实施例中,复合装置相关叠加目标可包含不同装置级特征图案以对应于给定层上的不同装置结构。在此方面,可针对各类型的装置级特征图案测量装置相关叠加以有利于对多个装置结构的稳健的且准确的叠加测量。
类似地,复合装置相关叠加目标可包含多组参考特征图案。在此方面,可基于装置级特征图案及参考特征图案的任何选定组合测量叠加。
图3是根据本发明的一或多个实施例的复合装置相关叠加目标300的概念图。在一个实施例中,复合装置相关叠加目标300包含多个装置级图案302a到g。在另一实施例中,复合装置相关叠加目标300包含多个参考图案304a、b。多个装置级图案302a到g以及多个参考图案304a、b可以任何空间上分离的定向分布。举例来说,如在图3中说明,复合装置相关叠加目标300可被划分成网格使得其中网格的每一片段包含装置级图案及/或参考图案。
在一个实施例中,可产生任何装置级图案302a到g与任何参考图案304a、b之间的装置相关叠加测量。在此方面,选定装置级图案302a到g及选定参考图案304a、b可被组合视为装置相关叠加目标(例如,类似于图3中说明的装置相关叠加目标200但不限于图3中说明的特定设计)。因此,可基于方程式1及图3的装置相关叠加目标200的阐释性描述产生装置相关叠加测量。
此外,装置级图案302a到g及参考图案304a、b可包含沿任何方向定向的特征。举例来说,每一装置级图案302a到g或参考图案304a、b可包含适用于沿多个方向的叠加的确定的沿多个方向分布的特征。通过另一实例,装置级图案302a到g或参考图案304a、b可包含沿单个方向分布的特征。
本文中应认识到,复合装置相关叠加目标(例如,复合装置相关叠加目标300)可有利于有效率地测量多个装置级图案的装置相关叠加值。举例来说,与参考图案304a、b中的任一者相关联的单个参考叠加测量(例如,OVLref)可应用于确定多个装置图案的多个装置相关叠加。
此外,用装置级图案及参考图案的不同组合测量的装置相关叠加可有利于确定系统性制造误差,例如但不限于光刻系统的像差。
应理解,图3中说明的复合装置相关叠加目标300仅经提供用于阐释性目的且不应被解释为限制性的。举例来说,复合装置相关叠加目标300可具有适于包含多个装置级特征图案及/或参考特征图案的任何形状,例如但不限于矩形或圆形。
图4是说明根据本发明的一或多个实施例的在用于测量装置相关叠加的方法400中执行的步骤的流程图。申请人提及,本文中先前在叠加计量系统100的内容背景中描述的实施例及实现技术应被解释为扩展到方法400。然而,进一步应注意,方法400不限于叠加计量系统100的架构。
在一个实施例中,方法400包含在样本上制造至少包含装置级特征图案及参考特征图案的叠加目标的第一层的步骤402。举例来说,叠加目标可包含具有类似于形成第一层上的半导体装置的一部分的装置特征的一或多个特性(例如,大小、形状、密度、定向或类似者)的装置级特征。在此方面,装置级特征可以类似于装置特征的特性印刷。叠加目标可额外地包含具有适于提供与后续层中的对应特征的层间叠加测量的选定特性(例如,大小、形状、密度、定向或类似者)的参考特征。此外,参考特征的特性可不同于(但不要求不同于)装置级特征的特性。
在另一实施例中,方法400包含继制造第一层之后运用成像系统测量第一层上的装置级特征图案与参考特征图案之间的第一层图案放置距离的步骤404。可使用所属领域中已知的任何技术来测量第一层图案放置距离。举例来说,步骤404可包含产生第一层上的装置级特征及参考特征的一或多个图像且基于所述一或多个图像确定第一层图案放置距离。
在一个实施例中,在步骤404中使用的成像系统是适于分辨装置级特征的基于粒子的成像系统(例如,基于粒子的叠加计量工具102a或类似者)。
在另一实施例中,方法400包含在样本上制造至少包含装置特征图案及参考特征图案的叠加目标的第二层的步骤406。举例来说,可将装置级特征图案及参考特征图案复制于第二层上。在一个实施例中,第一层及第二层上的装置级特征堆叠(例如,重叠)。在此方面,装置相关叠加可与第二层上的装置级特征相对于第一层的相对位移相关联。类似地,第一层及第二层上的参考特征图案可堆叠,使得参考叠加可与第二层上的参考特征相对于第一层的相对位移相关联。
在另一实施例中,方法400包含继制造第二层之后运用成像系统测量第二层上的装置级特征图案与参考特征图案之间的第二层图案放置距离的步骤408。举例来说,步骤408可大体上类似于在第二层上重复步骤404。在一个实施例中,可使用相同成像系统测量第一层图案放置距离及第二层图案放置距离以提供一致测量。
在另一实施例中,方法400包含运用叠加计量系统基于第一层上的参考特征图案与第二层上的参考特征图案的相对位置测量参考叠加的步骤410。
可使用所属领域中已知的任何叠加技术来测量参考叠加。举例来说,可使用基于粒子的叠加工具(例如,基于粒子的叠加计量工具102a或类似者)来测量参考叠加。在此方面,基于粒子的叠加计量工具可利用不同粒子能量来基于粒子束到样本中的穿透深度询问装置的不同层。举例来说,基于粒子的叠加计量工具可利用相对低能量的电子束(例如,大约1keV或更低)且可利用较高能量束(例如,大约10keV或更高)来特性化先前制造的层。此外,依据粒子能量而变化的穿透深度可针对不同材料变化,使得针对特定层的粒子能量的选择可针对不同材料而变化。
通过另一实例,可使用光学计量技术(例如但不限于光学成像计量或散射测量叠加计量)来测量参考叠加。在此方面,第一层及第二层上的参考特征可经制造具有大于选定光学叠加计量工具的光学分辨率的尺寸。如本文中先前描述,光学计量技术可利用电磁辐射的任何波长,例如但不限于x射线波长、极紫外线(EUV)波长、真空紫外线(VUV)波长、深紫外线(DUV)波长、紫外线(UV)波长、可见光波长或红外线(IR)波长。
在另一实施例中,第一层及第二层上的参考特征沿扫描方向周期性地分布。在此方面,参考叠加可沿扫描方向测量且可提供参考叠加的多个测量点,这可减少随机测量噪声。因此,产生参考叠加测量所需的剂量可相对于非重复结构减少,这可减轻与测量自身相关联的误差,例如但不限于充电效应及抗蚀剂层收缩。
在另一实施例中,方法400包含通过用第一层图案放置距离与第二层图案放置距离之间的差调整参考叠加而确定装置级特征图案的装置相关叠加的步骤412。举例来说,如本文中先前关于方程式1描述,装置相关叠加(UVLdevice)可依据与步骤410相关联的参考叠加(UVLref)及与步骤404的第一层图案放置距离与步骤408的第二层图案放置距离之间的差相关联的ΔPPE特性化。
在另一实施例中,方法400包含将基于装置相关叠加的叠加可校正值提供到光刻系统以修改至少一个后续曝光的曝光条件的步骤414。
举例来说,步骤414可包含基于装置相关叠加产生用于制造工具(例如但不限于光刻工具)的控制参数(或对控制参数的校正)。可通过控制系统(例如但不限于叠加计量系统100的控制器104)产生控制参数。可提供叠加可校正值作为反馈及/或前馈控制回路的部分。在一个实施例中,与当前过程步骤相关联的在样本上测量的装置相关叠加测量用于补偿一或多个制造工艺的漂移且因这可跨对相同或不同批次中之后续样本的多个曝光将叠加维持在选定公差内。在另一实施例中,可前馈与当前过程步骤相关联的装置相关叠加测量以调整后续过程步骤以补偿任何经测量叠加误差。举例来说,可调整对后续层上的图案的曝光以匹配后续层的经测量叠加。
本文中描述的标的物有时说明含于其它组件内或与其它组件连接的不同组件。应理解,此类所描绘架构仅为示范性的,且事实上可实施实现相同功能性的许多其它架构。在概念意义上,实现相同功能性的任何组件布置经有效“相关联”使得实现所要功能性。因此,在本文中经组合以实现特定功能性的任两个组件可被视为彼此“相关联”使得实现所要功能性,而与架构或中间组件无关。同样地,如此相关联的任两个组件还可被视为彼此“连接”或“耦合”以实现所要功能性,且能够如此相关联的任两个组件还可被视为可彼此“耦合”以实现所要功能性。可耦合的特定实例包含但不限于可物理交互及/或物理交互的组件、及/或可无线交互及/或无线交互的组件,及/或可逻辑交互及/或逻辑交互的组件。
据信,通过前文描述将理解本发明及本发明的许多伴随优点,且将明白,可在不脱离所揭示标的物或不牺牲全部其材料优点的情况下对组件的形式、构造及布置进行各种改变。所描述的形式仅为说明性的,且所附权利要求书希望涵盖且包含此类改变。此外,应理解,本发明是由所附权利要求书定义。

Claims (36)

1.一种叠加计量系统,其包括:
控制器,其包含一或多个处理器,所述一或多个处理器经配置以执行程序指令而引起所述一或多个处理器:
测量样本上的叠加目标的第一层上的装置特征图案与参考特征图案之间的第一层图案放置距离;
继制造至少包含所述装置特征图案及所述参考特征图案的第二层之后测量所述第二层上的所述装置特征图案与所述参考特征图案之间的第二层图案放置距离;
基于所述第一层及所述第二层上的所述参考特征图案的相对位置测量参考叠加,其中可通过成像系统穿过所述第二层分辨所述第一层上的所述参考特征图案的尺寸;及
通过用所述第一层图案放置距离与所述第二层图案放置距离之间的差调整所述参考叠加而确定所述装置级特征图案的装置相关叠加。
2.根据权利要求1所述的叠加计量系统,其中所述一或多个处理器进一步经配置以执行程序指令而引起所述一或多个处理器:
将基于所述装置相关叠加的叠加可校正值提供到光刻系统以修改至少一个后续曝光的曝光条件。
3.根据权利要求1所述的叠加计量系统,其中所述装置级特征图案是第一装置级特征图案,其中所述叠加目标包含制造于所述第一层及所述第二层上的一或多个额外装置级特征图案,其中所述一或多个处理器进一步经配置以执行程序指令而引起所述一或多个处理器:
在制造所述第二层之前,测量所述第一层上的所述一或多个额外装置特征图案与所述参考特征图案之间的一或多个额外第一层图案放置距离;
继制造所述第二层之后,测量所述第二层上的所述一或多个额外装置特征图案与所述参考特征图案之间的一或多个第二层图案放置距离;及
通过用所述一或多个额外第一层图案放置距离与所述相应一或多个额外第二层图案放置距离之间的差调整所述参考叠加而确定所述一或多个额外装置级特征图案的装置相关叠加。
4.根据权利要求3所述的叠加计量系统,其中所述第一装置级特征图案及所述一或多个额外组的装置级特征在所述叠加目标上在空间上分离。
5.根据权利要求3所述的叠加计量系统,其中所述第一层及所述第二层上的所述装置特征图案重叠。
6.根据权利要求5所述的叠加计量系统,其中所述第一层及所述第二层上的所述参考特征重叠。
7.根据权利要求3所述的叠加计量系统,其中所述第一层及所述第二层上的所述装置特征图案在所述叠加目标上在空间上分离。
8.根据权利要求7所述的叠加计量系统,其中所述第一层及所述第二层上的所述参考特征重叠。
9.根据权利要求1所述的叠加计量系统,其中所述参考特征图案是第一参考特征图案,其中所述叠加目标包含制造于所述第一层及所述第二层上的一或多个额外参考特征图案,其中所述一或多个处理器进一步经配置以执行程序指令而引起所述一或多个处理器:
在制造所述第二层之前,测量所述第一层上的所述装置特征图案与所述一或多个额外参考特征图案之间的一或多个额外第一层图案放置距离;
继制造所述第二层之后,基于所述第一层及所述第二层上的所述一或多个额外参考特征图案的相对位置测量一或多个额外参考叠加,其中可通过所述成像系统穿过所述第二层分辨所述第一层上的所述一或多个额外参考特征图案的尺寸;
继制造所述第二层之后,测量所述第二层上的所述装置特征图案与所述一或多个额外参考特征图案之间的一或多个第二层图案放置距离;及
通过用所述一或多个额外第一层图案放置距离与所述相应一或多个额外第二层图案放置距离之间的差调整所述一或多个额外参考叠加而确定一或多个额外装置相关叠加。
10.根据权利要求9所述的叠加计量系统,其中所述第一参考特征图案及所述一或多个额外组的参考特征在所述叠加目标上在空间上分离。
11.根据权利要求1所述的叠加计量系统,其中所述参考特征图案包含在所述第一层及所述第二层上沿扫描方向周期性分布的两组或更多组特征,其中基于所述参考特征图案的相对位置测量所述参考叠加包括:
产生所述两组或更多组特征的一或多个扫描图像;及
基于所述一或多个扫描图像中的所述两组或更多组特征组确定所述参考叠加。
12.根据权利要求11所述的叠加计量系统,其中在穿过所述第二层成像时,所述第一层上的所述参考特征图案的至少一些特征按小于所述成像系统的分辨率的节距分段。
13.根据权利要求11所述的叠加计量系统,其中所述一或多个扫描图像包括:
以不同成像角度、不同射束能量或不同波长中的至少一者产生的一或多个扫描图像。
14.根据权利要求1所述的叠加计量系统,其中所述成像系统包括:
基于粒子的成像系统。
15.根据权利要求14所述的叠加计量系统,其中所述基于粒子的成像系统包括:
电子束成像系统。
16.根据权利要求14所述的叠加计量系统,其中所述基于粒子的成像系统包括:
离子束成像系统。
17.根据权利要求1所述的叠加计量系统,其中所述成像系统包括:
光学成像系统。
18.根据权利要求1所述的叠加计量系统,其中所述成像系统包括:
两个或更多个成像系统。
19.一种叠加计量系统,其包括:
成像系统;及
控制器,其通信耦合到所述成像系统,所述控制器包含一或多个处理器,所述一或多个处理器经配置以执行程序指令而引起所述一或多个处理器:
在制造所述第一层之后,测量样本上的叠加目标的第一层上的装置特征图案与参考特征图案之间的第一层图案放置距离;
继制造至少包含所述装置特征图案及所述参考特征图案的第二层之后,测量所述第二层上的所述装置特征图案与所述参考特征图案之间的第二层图案放置距离;
基于所述第一层及所述第二层上的所述参考特征图案的相对位置测量参考叠加,其中可通过所述成像系统穿过所述第二层分辨所述第一层上的所述参考特征图案的尺寸;及
通过用所述第一层图案放置距离与所述第二层图案放置距离之间的差调整所述参考叠加而确定所述装置级特征图案的装置相关叠加。
20.根据权利要求19所述的叠加计量系统,其中所述一或多个处理器进一步经配置以执行程序指令而引起所述一或多个处理器:
将基于所述装置相关叠加的叠加可校正值提供到光刻系统以修改至少一个后续曝光的曝光条件。
21.根据权利要求19所述的叠加计量系统,其中所述装置级特征图案是第一装置级特征图案,其中所述叠加目标包含制造于所述第一层及所述第二层上的一或多个额外装置级特征图案,其中所述一或多个处理器进一步经配置以执行程序指令而引起所述一或多个处理器:
在制造所述第二层之前,测量所述第一层上的所述一或多个额外装置特征图案与所述参考特征图案之间的一或多个额外第一层图案放置距离;
继制造所述第二层之后,测量所述第二层上的所述一或多个额外装置特征图案与所述参考特征图案之间的一或多个第二层图案放置距离;及
通过用所述一或多个额外第一层图案放置距离与所述相应一或多个额外第二层图案放置距离之间的差调整所述参考叠加而确定所述一或多个额外装置级特征图案的装置相关叠加。
22.根据权利要求21所述的叠加计量系统,其中所述第一装置级特征图案及所述一或多个额外组的装置级特征在所述叠加目标上在空间上分离。
23.根据权利要求21所述的叠加计量系统,其中所述第一层及所述第二层上的所述装置特征图案重叠。
24.根据权利要求23所述的叠加计量系统,其中所述第一层及所述第二层上的所述参考特征重叠。
25.根据权利要求21所述的叠加计量系统,其中所述第一层及所述第二层上的所述装置特征图案在所述叠加目标上在空间上分离。
26.根据权利要求25所述的叠加计量系统,其中所述第一层及所述第二层上的所述参考特征重叠。
27.根据权利要求19所述的叠加计量系统,其中所述参考特征图案是第一参考特征图案,其中所述叠加目标包含制造于所述第一层及所述第二层上的一或多个额外参考特征图案,其中所述一或多个处理器进一步经配置以执行程序指令而引起所述一或多个处理器:
在制造所述第二层之前,测量所述第一层上的所述装置特征图案与所述一或多个额外参考特征图案之间的一或多个额外第一层图案放置距离;
继制造所述第二层之后,基于所述第一层及所述第二层上的所述一或多个额外参考特征图案的相对位置测量一或多个额外参考叠加,其中可通过所述成像系统穿过所述第二层分辨所述第一层上的所述一或多个额外参考特征图案的尺寸;
继制造所述第二层之后,测量所述第二层上的所述装置特征图案与所述一或多个额外参考特征图案之间的一或多个第二层图案放置距离;及
通过用所述一或多个额外第一层图案放置距离与所述相应一或多个额外第二层图案放置距离之间的差调整所述一或多个额外参考叠加而确定一或多个额外装置相关叠加。
28.根据权利要求27所述的叠加计量系统,其中所述第一参考特征图案及所述一或多个额外组的参考特征在所述叠加目标上在空间上分离。
29.根据权利要求19所述的叠加计量系统,其中所述参考特征图案包含在所述第一层及所述第二层上沿扫描方向周期性分布的两组或更多组特征,其中基于所述参考特征图案的相对位置测量所述参考叠加包括:
产生所述两组或更多组特征的一或多个扫描图像;及
基于所述一或多个扫描图像中的所述两组或更多组特征确定所述参考叠加。
30.根据权利要求29所述的叠加计量系统,其中在穿过所述第二层成像时,所述第一层上的所述参考特征图案的至少一些特征按小于所述成像系统的分辨率的节距分段。
31.根据权利要求30所述的叠加计量系统,其中所述一或多个扫描图像包括:
以不同成像角度、不同射束能量或不同波长中的至少一者产生的一或多个扫描图像。
32.根据权利要求19所述的叠加计量系统,其中所述成像系统包括:
基于粒子的成像系统。
33.根据权利要求32所述的叠加计量系统,其中所述基于粒子的成像系统包括:
电子束成像系统。
34.根据权利要求32所述的叠加计量系统,其中所述基于粒子的成像系统包括:
离子束成像系统。
35.根据权利要求19所述的叠加计量系统,其中所述成像系统包括:
光学成像系统。
36.一种叠加计量方法,其包括:
在样本上制造至少包含装置特征图案及参考特征图案的叠加目标的第一层;
运用成像系统继制造所述第一层之后测量所述第一层上的所述装置特征图案与所述参考特征图案之间的第一层图案放置距离;
在所述样本上制造至少包含所述装置特征图案及所述参考特征图案的所述叠加目标的第二层;
运用所述成像系统继制造所述第二层之后测量所述第二层上的所述装置特征图案与所述参考特征图案之间的第二层图案放置距离;
运用叠加计量工具基于所述第一层及所述第二层上的所述参考特征图案的相对位置测量参考叠加,其中可通过所述叠加计量工具穿过所述第二层分辨所述第一层上的所述参考特征图案的尺寸;
通过用所述第一层图案放置距离与所述第二层图案放置距离之间的差调整所述参考叠加而确定所述装置级特征图案的装置相关叠加;及
将基于所述装置相关叠加的叠加可校正值提供到光刻系统以修改至少一个后续曝光的曝光条件。
CN201880077786.3A 2017-12-07 2018-12-06 用于装置相关叠加计量的系统及方法 Active CN111433676B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762595987P 2017-12-07 2017-12-07
US62/595,987 2017-12-07
US16/009,939 US10474040B2 (en) 2017-12-07 2018-06-15 Systems and methods for device-correlated overlay metrology
US16/009,939 2018-06-15
PCT/US2018/064145 WO2019113262A1 (en) 2017-12-07 2018-12-06 Systems and methods for device-correlated overlay metrology

Publications (2)

Publication Number Publication Date
CN111433676A true CN111433676A (zh) 2020-07-17
CN111433676B CN111433676B (zh) 2022-08-05

Family

ID=66696697

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880077786.3A Active CN111433676B (zh) 2017-12-07 2018-12-06 用于装置相关叠加计量的系统及方法

Country Status (8)

Country Link
US (1) US10474040B2 (zh)
EP (1) EP3721294A4 (zh)
JP (1) JP7118152B2 (zh)
KR (1) KR102435141B1 (zh)
CN (1) CN111433676B (zh)
SG (1) SG11202002413UA (zh)
TW (1) TWI781259B (zh)
WO (1) WO2019113262A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11914290B2 (en) * 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11221561B2 (en) 2020-01-14 2022-01-11 Kla Corporation System and method for wafer-by-wafer overlay feedforward and lot-to-lot feedback control
CN111458984A (zh) * 2020-03-13 2020-07-28 华中科技大学 一种套刻标记及测量配置的分步优化方法
JP7369306B2 (ja) * 2020-04-15 2023-10-25 ケーエルエー コーポレイション 半導体デバイスの位置ずれを計測する際役立つデバイス規模フィーチャを有する位置ずれターゲット
US11460783B2 (en) * 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US11720031B2 (en) 2021-06-28 2023-08-08 Kla Corporation Overlay design for electron beam and scatterometry overlay measurements
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
EP4202552B1 (en) * 2021-12-24 2024-04-17 Imec VZW Method and structure for determining an overlay error
TWI809929B (zh) * 2022-04-08 2023-07-21 南亞科技股份有限公司 具有疊對標記的半導體元件結構

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1329357A (zh) * 2000-06-08 2002-01-02 株式会社东芝 对准方法、套刻检查方法和光掩模
US20030021467A1 (en) * 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US20050068515A1 (en) * 2003-09-30 2005-03-31 Lothar Bauch Method for detecting positioning errors of circuit patterns during the transfer by means of a mask into layers of a substrate of a semiconductor wafer
CN106325001A (zh) * 2015-07-10 2017-01-11 中芯国际集成电路制造(上海)有限公司 套刻精度补偿方法及装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP1314198B1 (en) * 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6432591B1 (en) * 2000-08-30 2002-08-13 Micron Technology, Inc. Overlay target design method with pitch determination to minimize impact of lens aberrations
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6949462B1 (en) * 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
TW200509355A (en) * 2003-04-08 2005-03-01 Aoti Operating Co Inc Overlay metrology mark
US7308368B2 (en) * 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
WO2007040855A1 (en) 2005-09-30 2007-04-12 Advanced Micro Devices, Inc. Structure and method for simultaneously determining an overlay accuracy and pattern placement error
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US8181327B2 (en) 2008-02-08 2012-05-22 Zephyros, Inc Mechanical method for improving bond joint strength
US8214317B2 (en) 2009-08-17 2012-07-03 Pratt & Whitney Rocketdyne, Inc. Failure detection system risk reduction assessment
US9052709B2 (en) * 2010-07-30 2015-06-09 Kla-Tencor Corporation Method and system for providing process tool correctables
KR101943593B1 (ko) 2011-04-06 2019-01-30 케이엘에이-텐코 코포레이션 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템
US9097978B2 (en) 2012-02-03 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to characterize photolithography lens quality
US9188876B2 (en) * 2012-02-07 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of determining overlay error and control system for dynamic control of reticle position
US8860941B2 (en) * 2012-04-27 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tool induced shift reduction determination for overlay metrology
US9201312B2 (en) 2013-04-16 2015-12-01 Kla-Tencor Corporation Method for correcting position measurements for optical errors and method for determining mask writer errors
US9214317B2 (en) 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
TWI544288B (zh) * 2014-04-14 2016-08-01 台灣積體電路製造股份有限公司 疊對度量方法
WO2016124393A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
EP3171396A1 (en) * 2015-11-18 2017-05-24 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of determining an overlay error, manufacturing method and system for manufacturing of a multilayer semiconductor device, and semiconductor device manufactured thereby
EP3475972A4 (en) 2016-06-27 2020-02-26 KLA-Tencor Corporation APPARATUS AND METHOD FOR MEASURING PATTERN PLACEMENT AND PATTERN SIZE, AND CORRESPONDING COMPUTER PROGRAM

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1329357A (zh) * 2000-06-08 2002-01-02 株式会社东芝 对准方法、套刻检查方法和光掩模
US20030021467A1 (en) * 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US20050068515A1 (en) * 2003-09-30 2005-03-31 Lothar Bauch Method for detecting positioning errors of circuit patterns during the transfer by means of a mask into layers of a substrate of a semiconductor wafer
CN106325001A (zh) * 2015-07-10 2017-01-11 中芯国际集成电路制造(上海)有限公司 套刻精度补偿方法及装置

Also Published As

Publication number Publication date
TW201935148A (zh) 2019-09-01
JP7118152B2 (ja) 2022-08-15
EP3721294A4 (en) 2021-09-01
TWI781259B (zh) 2022-10-21
JP2021505959A (ja) 2021-02-18
US10474040B2 (en) 2019-11-12
WO2019113262A1 (en) 2019-06-13
CN111433676B (zh) 2022-08-05
SG11202002413UA (en) 2020-06-29
EP3721294A1 (en) 2020-10-14
US20190179231A1 (en) 2019-06-13
KR20200086748A (ko) 2020-07-17
KR102435141B1 (ko) 2022-08-22

Similar Documents

Publication Publication Date Title
CN111433676B (zh) 用于装置相关叠加计量的系统及方法
CN111801625B (zh) 叠加及边缘放置错误的计量及控制
US10473460B2 (en) Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
JP7446447B2 (ja) 複合的オーバレイ計測ターゲット
US20240035812A1 (en) Metrology target for one-dimensional measurement of periodic misregistration
US11209737B1 (en) Performance optimized scanning sequence for eBeam metrology and inspection
US20240094639A1 (en) High-resolution evaluation of optical metrology targets for process control

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant