JP2002510145A - 密着性を改良するための基板のプラズマアニーリング - Google Patents

密着性を改良するための基板のプラズマアニーリング

Info

Publication number
JP2002510145A
JP2002510145A JP2000540574A JP2000540574A JP2002510145A JP 2002510145 A JP2002510145 A JP 2002510145A JP 2000540574 A JP2000540574 A JP 2000540574A JP 2000540574 A JP2000540574 A JP 2000540574A JP 2002510145 A JP2002510145 A JP 2002510145A
Authority
JP
Japan
Prior art keywords
substrate
plasma
metal nitride
gas
refractory metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000540574A
Other languages
English (en)
Inventor
ジェニファー ツェン,
メイ チャン,
リン チェン,
デイヴィッド, シー. スミス,
カール, エー. リットー,
チー チャーン,
マーヴィン リャオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002510145A publication Critical patent/JP2002510145A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/336Changing physical properties of treated surfaces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 材料の層が、ウェハ上に部分的に形成された集積回路内の基板上に形成される。基板はプラズマアニールを受け、その間に基板はイオンでボンバードされる(工程300)。プラズマアニールは、エネルギーを注入された窒素含有ガスから生成されたプラズマへ基板を曝すことにより実行できる。基板がプラズマアニールされた後、耐熱性金属窒化物の層が基板上に堆積される(工程301)。耐熱性金属窒化物の層は、次に、第1セットのイオンでボンバードされる。第1セットのイオンによる耐熱性金属のこのボンバードは、プラズマアニールを実行することにより達成できる。耐熱性金属窒化物は、更に、第2セットのイオンによりボンバードされる(工程302)。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
関連出願とのクロスリファレンス この出願は: 1995年7月6日出願の米国特許出願第08/498,990号で発明の名
称「薄膜のバイアスされたプラズマのアニーリング (BIASED PLASMA ANNEALING
OF THIN FILMS)」;1994年11月14日出願の米国特許出願第08/339
,521号で発明の名称「化学的気相成長により堆積された改良窒化チタン層と
その製造方法 (IMPROVED TITANIUM NITRIDE LAYERS DEPOSITED BY CHEMICAL VAP
OR DEPOSITION AND METHOD OF MAKING)」;および1995年12月5日出願の 米国特許出願第08/567,461号で発明の名称「薄膜のプラズマアニーリ
ング (PLASMA ANNEALING OF THIN FILMS)」;の一部継続出願である、1997 年2月28日出願の米国特許出願第08/810,221号で発明の名称「半導
体ウェハ上への膜の構成 (CONSTRUCTION OF A FILM ON A SEMICONDUCTOR WAFER)
」の一部継続出願である。上記関連特許出願はそれぞれ、本明細書に引用して組
み込まれる。
【0002】 本発明は、集積回路を製造する分野に向けられる。
【0003】
【従来の技術】
集積回路の製造時、拡散バリアの下地領域中への金属の拡散を禁止するために
拡散バリアが使用される。これら下地領域は、トランジスタのゲート、コンデン
サの誘電体、半導体基板、金属配線、そして集積回路に現われる多くの他の構造
を含む。金属拡散は高抵抗ポケットを拡散領域に形成させるので、金属拡散の防
止は重要である。そのような抵抗性ポケットの形成は、集積回路を不良にする。
【0004】 例えば、電極がトランジスタのゲートに対して形成されているときに、拡散バ
リアは、ゲートと電極のコンタクト部としての役割を持つ金属との間に形成され
ることが多い。拡散バリアは、ポリシリコンで構成されるであろうゲートへの金
属の拡散を禁止する。拡散バリアは、コンデンサの誘電体とコンデンサの電極の
コンタクト部との間にも使用される。誘電体は五酸化タンタルなどの材料で構成
でき、一方、電極のコンタクト部は、タングステン、チタン、アルミニウム、ま
たは銅などの金属から成る。拡散バリアは、金属の誘電体中への拡散を防止する
。さもないと、そのような拡散はコンデンサを動作不能にさせる。
【0005】 耐熱性金属窒化物が拡散バリアとしてこれまで使用されてきた。例えば、窒化
チタンが、銅やアルミニウムなどの金属の拡散を禁止するために使用された。し
かし、ポリシリコンや酸化タンタルなどの、特定表面への窒化チタンの密着性は
、要求される程には強くない。製造される集積回路の構造的完全性を提供するた
めに良好な密着性が重要である。良好な密着性は、拡散バリアと下地領域との間
に、より良好な伝導性を提供する。
【0006】 更に、窒化チタンが五酸化タンタル上に堆積される場合、反応が起こる。五酸
化タンタルからの酸素が窒化チタンと混合し、窒化チタンを酸化する。酸素の存
在は窒化チタン膜の固有抵抗を大きくさせるので、酸素と窒化チタンとの混合は
望ましくない。
【0007】 急速熱窒化処理が、窒化チタンの五酸化タンタルへの密着性を改善し、窒化チ
タンの酸化を低減するために使用されてきた。窒化チタンが堆積される前に、五
酸化タンタル基板上で急速熱窒化処理が行なわれ、基板表面上に酸窒化タンタル
が形成される。次に、酸窒化タンタル上に窒化チタンが堆積され、それはより良
好な密着性を有する。
【0008】 現在、五酸化タンタル基板の急速熱窒化処理と窒化チタンの堆積は、同じチャ
ンバで現場操作として実行することはできない。従って、ウェハは、五酸化タン
タルの堆積に続き、急速熱窒化処理チャンバに置かれ、急速熱窒化処理が行なわ
れた後に、窒化チタン堆積チャンバへ移送されねばならない。
【0009】 使用対象の異なる処理チャンバの数を最少化することが望ましい。これは、ウ
ェハ毎に受けねばならないチャンバ移送の回数を制限することになる。チャンバ
移送は、ウェハをチャンバ外環境中での汚染に曝すので望ましくない。そのよう
な汚染はウェハを不良品にする。更に、ウェハは移送中に酸素に曝されるかもし
れない。酸素は、ウェハ表面上の材料と反応して、ウェハの表面材料の固有抵抗
を許容できないレベルまで増加させるのである。
【0010】 従って、許容可能な拡散バリア特性と基板への密着性を耐熱性金属窒化物が有
するように、基板上への窒化チタンなどの耐熱性金属窒化物膜の形成を提供する
ことが望ましい。その上、耐熱性金属窒化物の堆積前に行なわれるいずれの基板
処理も、耐熱性金属窒化物の堆積と同じチャンバ内で行なわれることが望ましい
【0011】
【課題を解決するための手段】
本発明によると、耐熱性金属窒化物膜が、ウェハ上に部分的に形成された集積
回路における露出された基板上に形成され、それにより、膜が基板に密着する。
更に、全体の膜形成が、単一の処理チャンバでその場で行なえる。
【0012】 そのような膜形成において、いずれの膜材料であっても、その堆積前に、基板
がプラズマアニールされる。プラズマアニール中に、基板はイオンでボンバード
される。基板がプラズマアニールされた後に、耐熱性金属窒化物の層が基板上に
堆積される。本発明の実施の形態の1つでは、耐熱性金属窒化物は窒化チタンで
ある。基板をプラズマアニールした結果として、基板と耐熱性金属窒化物との間
には良好な密着結合がある。
【0013】 次に、堆積された耐熱性金属窒化物は、第1プラズマのアニールを受け、その
間に、耐熱性金属窒化物は、第1セットのイオンでバンバードされる。本発明の
実施の形態では、耐熱性金属窒化物は、第1プラズマアニールが完了した後に、
第2プラズマのアニールも受ける。第2プラズマアニール中に、耐熱性金属窒化
物は、第2セットのイオンでボンバードされる。本発明の実施の形態では、基板
のプラズマアニール、耐熱性金属窒化物の堆積、および、耐熱性金属窒化物のプ
ラズマアニールは、全て同じ場所で行なわれる。
【0014】 基板をプラズマアニールする場合、基板はイオンを含むプラズマに曝される。
プラズマは、ガスを供給し、高周波で生成されたエネルギーでガスを活性化する
ことにより生成される。本発明の実施の形態の1つでは、供給されるガスは、窒
素ガスである。本発明の更なる実施の形態では、ガスは、窒素と、水素やヘリウ
ムなどの他の元素のガスとの混合物を含んでもよい。
【0015】
【発明の実施の形態】
本発明の更なる詳細は、添付図面の助けを借りて説明される。
【0016】 図1(a)は、部分的に形成された集積回路における基板材料101の断面図
を示す。耐熱性金属窒化物の拡散バリアが、基板101上に形成されることにな
る。基板は、数多い材料のいずれか1つであってよい。例えば、基板101は、
トランジスタのゲートを形成するポリシリコンでもよく、代替として、コンデン
サの誘電体を形成する五酸化タンタルでもよい。本発明は、バリア層の特定の使
用または配置に限定されないことを理解されたい。
【0017】 図1(b)に示すように、基板101はプラズマアニールを受け、そこで、基
板101は、耐熱性金属窒化物堆積用の基板101を調製するようプラズマ10
2で処理される。プラズマアニールの結果、耐熱性金属窒化物は、基板101へ
より良好に密着する。
【0018】 プラズマアニールを行なう際には、ガスが供給されて、そのガスにエネルギー
が注入され、プラズマ102を形成する。本発明によるプラズマ102を形成す
るために、ガスは、350から600キロヘルツ(「kHz」)の範囲の周波数
を有する信号からのエネルギーを使用して励起される。信号の電力は、300か
ら1200ワットの範囲でよい。信号は、プラズマを生成し持続するために10
から300秒間ガスへ供給されてよい。
【0019】 プラズマ102の生成中に、基板101は、基板101へ誘引されるプラズマ
102中にイオンを結果として生ずる自己バイアス電圧を得て、それにより、イ
オンが基板102をボンバードする。本発明の実施の形態の1つでは、基板10
1の温度は、300から475℃の範囲にあるよう設定され、プラズマアニール
は、0.3から10 Torr の範囲の圧力を持つ環境で実行される。
【0020】 本発明によると、プラズマ102を形成するために使用されるガスは、いずれ
のガスでもよいが、好ましくは、窒素、アンモニア、またはアルゴンなど、酸素
と炭素を含まないガスである。本発明の実施の形態の1つでは、ガスは、窒素(
2)で構成される。本発明の代替の実施の形態では、ガスは、窒素(N2)と、
水素(H2)のような他の元素との混合物で構成される。代替として、ガスは、 窒素(N2)とヘリウム(He)との混合物、または窒素(N2)、水素(H2) 、およびヘリウム(He)との混合物であってもよい。
【0021】 ガスに窒素が含有される上記実施の形態の各々では、窒素イオンがプラズマ1
02内に形成される。窒素イオンは基板101をボンバードする。基板101が
五酸化タンタルである場合、窒素イオンのボンバードは、基板101の上面への
酸窒化タンタルの層の形成を結果として生じる。本発明によると、酸窒化タンタ
ルは、後に基板101上に堆積される耐熱性金属窒化物拡散バリアの酸化を禁止
する。
【0022】 基板がプラズマ102により一旦処理されると、図1(c)に示すように、耐
熱性金属窒化物材料103が基板101の上面に堆積される式。Mxyの耐熱性
金属窒化物(ここで、Mは、チタン、ジルコニウム、ハフニウム、タンタル、モ
リブデン、タングステン、および他の耐熱性金属でよく;xとyは、金属と窒素
の種々の定量的結合を表す)は、耐熱性金属窒化物材料103として使用できる
【0023】 本発明によると、耐熱性金属窒化物103は、化学気相堆積(「CVD」)を
使用して堆積される窒化チタン(TiN)でよい。窒化チタンの化学的気相成長
は、有機金属のチタン化合物の使用により達成できる。そのような化合物の1つ
は、テトラキス(ジアルキルアミド)チタン(Ti(NR24)であり、ここで
、各場合でのRは、独立して、アルキル基における、例えば、1−5炭素原子で
ある。テトラキス(ジメチルアミド)チタン(TDMAT)を使用するのが通常
で、その化学式はTi(N(CH324である。ヘリウム、アルゴン、窒素、 または水素などの、キャリアガスが化合物をCVDチャンバ内へ持込み、それに
より、それはエネルギーを注入されることができる。エネルギーは、熱CVDの
場合、熱的加熱源により、または、プラズマ強化CVDの場合、周波数を持つ信
号を供給する信号ソースにより、生成できる。活性化された化学的蒸気は、ウェ
ハの表面と反応して、ウェハ上に材料の薄い層を形成する。
【0024】 TDMATの化学的蒸気が使用される場合、窒化チタン膜がウェハの表面上に
堆積される。耐熱性金属窒化物103としての窒化チタンの堆積を容易にする
ために、基板101の温度は、340〜390℃の範囲にあるように設定され、
堆積が実行されている環境の圧力は、0.5から2.0 Torr の範囲であるよう
に設定される。本発明の実施の形態で使用できる窒化チタンを堆積するための従
来のCVDプロセスは、Sandhu 他へ発行された米国特許第5,246,881 号に開示されている。
【0025】 基板101へ提供されたプラズマ処理の結果、図1(b)を参照して説明した
ように、窒化チタン103の基板101への密着性が改善される。基板101が
ポリシリコンまたは五酸化タンタルである場合、窒化チタンの密着性は、基板の
プラズマアニールが遂行されない場合に得られる密着性を超えて著しく増強され
る。
【0026】 更に、基板101が五酸化タンタルである場合、窒化チタン103の酸化は著
しく低減される。先に説明したように、五酸化タンタルの基板のプラズマアニー
ルは、基板101の上面への酸窒化タンタル形成を結果として生じる。酸窒化タ
ンタルは、五酸化タンタル基板101において、窒化チタン103を酸素から隔
離する。その結果、窒化チタン103の酸素含有量は低減され、それによって、
窒化チタン103の固有抵抗を低下させる。
【0027】 図2(a)は、プラズマアニールされていない五酸化タンタルの層上に800
から1000Åの窒化チタン層が堆積された構造のオージェプロファイルを示す
。図2(b)は、本発明により、プラズマアニールされていない五酸化タンタル
の層上に800から1000Åの窒化チタン層が堆積された構造のオージェ深さ
プロファイルを示す。図2(a)と2(b)を比較して分かるように、窒化チタ
ンと五酸化タンタルとの間に接合が形成される2500Åから2750Åの範囲
の深さのところで、酸素含有量は、図2(b)での構造で著しく低い。これは、
プラズマアニールにより形成された隔離酸窒化タンタルの存在を表している。
【0028】 図2(b)に示す五酸化タンタルは、30秒間のプラズマアニールを施した。
プラズマは、N2から成るガスを使用して形成された。ガスは、100〜560 SCCMの流量で供給され、350kHzの周波数と略750ワットの電力を有
する信号により活性化された。
【0029】 窒化チタン103のCVD堆積層は、有意量の炭素を含有できる。これは、得
られた窒化チタン層を化学的に反応性する。結果として、膜103が空気または
他の酸素含有ガスに曝されるとき、酸素は窒化チタン膜103へ吸収される。酸
素吸収は制御されないので、窒化チタン103の安定に悪影響を及ぼし、窒化チ
タン103の固有抵抗を不利に増加させる。これは、集積回路に形成されたデバ
イスの信頼性が劣ることを結果としてもたらすだろう。
【0030】 空気への暴露後、CVD堆積された窒化チタン膜の膜固有抵抗は、約10,0
00μΩ−cmから約100,000μΩ−cmまでの値へ増加し得る。堆積さ
れた窒化チタンが、電極構造またはプラグなどの配線構造での拡散バリアとして
使用される場合、非常に望ましくない値である。約600μΩ−cm以下の程度
の固有抵抗が望ましい。
【0031】 図1(d)に示すように、CVD堆積された、窒化チタンなどの耐熱性金属窒
化物103は、固有抵抗を低減するようプラズマアニールすることができる。膜
103の固有抵抗を低減するために一回のプラズマアニールでも、連続するプラ
ズマアニールであってもよい。本明細書に引用して組込まれる、1997年2月
28日出願の米国特許出願第08/810,221号で発明の名称「半導体ウェ
ハ上への膜の構成」は、窒化チタン等の、耐熱性金属窒化物103の固有抵抗を
低減するために使用できる一回または連続するプラズマアニールの両方を開示し
ている。
【0032】 前記のプロセスは、本明細書に引用して組込まれる、1996年7月12日出
願の Zhao他による米国特許出願第08/680,724号で発明の名称「化学 的気相堆積チャンバ内のガス流動経路でのペデスタル周辺の部品」に記載のよう
な単一のCVDチャンバで完遂される。この形式のチャンバは、カリフォルニア
州サンタクララの Applied Materials, Inc. により商品名 TxZ Chamber で製 造され、それは、基板101のプラズマアニール、耐熱性金属窒化物103の堆
積、および耐熱性金属窒化物103のプラズマアニールを行なうために使用でき
る。本発明によるプラズマアニールを行なうために使用できる代替のチャンバは
、本明細書に引用して組込まれる、1997年2月28日出願の米国特許出願第
08/810,221号で発明の名称「半導体ウェハ上への膜の構成」に開示さ
れている。基板のプラズマアニール、CVD堆積、および耐熱性金属窒化物のプ
ラズマアニールを行なうために2つ以上のチャンバが使用される場合、好ましく
は、CVDチャンバからアニールチャンバへのウェハの移送中に真空が維持され
る。
【0033】 図3は、TxZ Chamber のようなCVDチャンバを概略的に示す。チャンバ13
0は、処理チャンバ内圧力を設定して、処理チャンバ135から反応副生成物を
排出するための圧力制御ユニット140(例えば、真空ポンプ)を含む。チャン
バ130は、処理チャンバ135の壁をシャワーヘッド134とウェハ支持体1
32から電気的に絶縁する絶縁体162も含む。ガスパネル143が、ガスをシ
ャワーヘッド134へ供給するためにチャンバ130に備えられる。本発明の実
施の形態が、更に、図3に示すチャンバ130を参照して説明されるが、この技
術に通常に精通する者は、説明されたプラズマアニールおよび堆積が、先に説明
したように、異なる多数のチャンバ内で実行できることが分かるであろう。
【0034】 本発明に従って基板を処理するためには、基板が存在し露出しているウェハ1
80が、チャンバのウェハ支持体132上に配置され、シャワーヘッド134か
ら約0.3から0.8インチ、好ましくは、0.6から0.7インチ間隔を置か
れる。シャワーヘッド134を通し処理チャンバ135内へ導入される窒素ガス
(N2)へエネルギーを与えることにより、活性化イオンを持つプラズマ181 が得られる。窒素ガスが好ましいが、水素、ヘリウム、アルゴン、またはアンモ
ニアなどの1つ以上の他のガスが、基板のプラズマ処理に使用できる。
【0035】 ガスパネル143は、窒素ガスをシャワーヘッド134へ、100から1,0
00sccmの範囲の流量レートで供給する。エネルギーは、シャワーヘッド1
34へ(代替としてペデスタル132へ)結合されている信号ソース136から
ガスへ供給される。350から600kHzの範囲の周波数と300から1,2
00ワットの範囲の電力を有する信号が供給される。
【0036】 シャワーヘッド134にパワー、すなわちトップパワーが与えられ、そしてウ
ェハ支持体132と処理チャンバ135の壁を接地するとともに、シャワーヘッ
ドが、700から1000ワットで駆動され、ウェハ180と接地との間に−1
00から−200ボルトの間のDC自己バイアス電圧が、ウェハ180上に誘導
される。これは、プラズマ181から窒素イオンを誘引することにより、ウェハ
180上の露出された基板に衝突させるには充分である。プラズマアニール中、
処理チャンバ135内の圧力は、0.3から10 Torr の範囲、好ましくはチャ
ンバ内圧力は0.5から2.0 Torr の範囲である。基板を含め、ウェハ180
の温度は、300から475℃の範囲に設定される。プラズマアニールは、一般
に、15から300秒の範囲の時間行なわれ、好ましいプラズマアニール時間は
20〜40秒の範囲である。
【0037】 トップパワーが好ましいとはいえ、プラズマは、高周波信号をペデスタル13
2だけへ供給する、すなわちボトムパワーにより、または、ペデスタルとシャワ
ーヘッドへ瞬時に供給することにより生成できる。これらオプション構成は、ペ
デスタル132へ整合ネットワーク252を介してRFパワーソール136へ結
合する破線の経路175により表されている。
【0038】 プラズマアニールの完了後、処理チャンバ135内のガスは、圧力制御ユニッ
ト140により一掃される。基板が五酸化タンタルである場合、上記のように、
窒素イオンのボンバードは、ウェハ180上の露出された五酸化タンタル基板の
上面に酸窒化タンタルの形成を結果として生じる。
【0039】 本発明の代替の実施の形態では、ウェハ180上の基板のプラズマアニール中
に、窒素(N2)と水素(H2)の混合ガスを、窒素ガスに置換えることができる
。図3に示すチャンバ130を使用する場合、ウェハ180は、ウェハ支持体1
32上に配置でき、シャワーヘッド134から約0.3から0.8インチ、好ま
しくは、0.6から0.7インチの間隔を置かれる。
【0040】 窒素と水素の3:1の混合物から成るガスが、シャワーヘッド134を介して
処理チャンバ135内へ導入される。窒素と水素の混合物は、ガスパネル143
によりシャワーヘッド134を介して約300sccmの窒素流量で供給される
。次いで信号ソース136が、信号を、シャワーヘッド134へ整合ネットワー
ク252を介して供給する。信号ソース136により供給される信号は、300
から1,200ワット、好ましくは700から1,000ワットの範囲の電力と
、300から600kHzの範囲の周波数を有する。上記混合ガスは窒素対水素
比3:1を有するが、5:1と1:5の間のいずれかの比を用いることができる
【0041】 正に帯電した窒素と水素イオンを含むプラズマが、シャワーヘッド134へ供
給される電力の作用下で生じる。プラズマは、普通は、15から300秒間の範
囲で持続され、トップパワーだけが使用される場合、好ましい時間は10から3
5秒である。上記のように、処理チャンバの壁とウェハ支持体132は接地され
ている。シャワーヘッド134は、−150から−450ボルトの間、普通には
−400ボルト、の負のバイアスを取得する。基板を含め、ウェハ180は、−
100から−200ボルトの間、普通には、−150ボルト、の負のバイアスを
取得するよう自己バイアスする。この負のバイアス電圧は、ボンバード中ほぼ一
定である。
【0042】 ボンバード中、プラズマからの正に帯電したイオンは、ウェハ180上の基板
の表面への電圧傾度により加速される。これは、イオンにウェハ表面をボンバー
ドさせ、50から100Åの深さに浸透する。プラズマからのエネルギー的に中
性な原子粒子もウェハをボンバードできる。
【0043】 基板がプラズマ181により処理された後に、耐熱性金属窒化物の拡散バリア
材料182の層が、ウェハ180の上面に堆積される。
【0044】 本発明の実施の形態の1つの実施例として、窒化チタンの堆積を、耐熱性金属
窒化物182の堆積を例証するよう説明する。本発明によると、窒化チタン18
2は、化学的気相成長を使用して堆積される。
【0045】 窒素(N2)とヘリウム(He)の混合キャリアガスが、TDMAT化合物を 、処理チャンバ135内へシャワーヘッド134を介して持込み、それにより、
TDMATはエネルギーを注入されることができる。混合キャリアガスとTDM
AT化合物の両方がガスパネル143によりシャワーヘッド134へ供給される
。窒素とヘリウムガスは、各々100から1,500sccmの範囲の流量で供
給され、TDMATは、100〜1,000sccmの範囲の流量で供給される
【0046】 処理チャンバ135では、ウェハ180は温度、300〜390℃の範囲に設
定され、圧力制御ユニット140は、処理チャンバ135内の圧力を0.5から
2.0 Torr の範囲にあるよう設定する。TDMATは、ウェハの加熱された表
面と反応して、基板を含むウェハ180の上面に窒化チタン182の薄層を形成
する。堆積プロセスは、50から900秒間の範囲で継続される。堆積が完了し
た後に、結果としての副生成物は、圧力制御ユニット140により処理チャンバ
135から一掃される。
【0047】 ウェハ180上の露出された基板上で行なわれたプラズマアニールの結果、プ
ラズマアニールされた基板への窒化チタンの密着性が改善される。窒化チタンの
改善された密着性は、プラズマアニールされていない基板で得られる密着性に優
越している。プラズマアニールされた基板が五酸化タンタルである場合、基板の
上面に形成された酸窒化タンタルによる隔離により、窒化チタンも酸化のレベル
が低減される。
【0048】 窒化チタン層の固有抵抗を改善するために、膜は、1997年2月28日出願
の米国特許出願第08/810,221号で発明の名称「半導体ウェハ上への膜
の構成」に記載されたように、アニールでき、ここで、窒素、水素、アンモニア
、または、その幾つかの組合せのプラズマが、チャンバで点火され、活性化イオ
ンで膜をボンバードする。イオンボンバードの結果、堆積された材料の圧縮が起
こり、厚さを20から50%減少させることができる。この減少は、ウェハ18
0の温度とプラズマの処理時間とプラズマエネルギに依存する。更に、窒化チタ
ンの層を、引続き堆積させることができ、プラズマアニールが可能で、所望の厚
さを持つ拡散バリア膜を得る。
【0049】 アニールが完了した後に、得られるプラズマアニールされた窒化チタン膜18
2は、多くの改善された特性を示す。酸素含有量は低減され、それによって、酸
素が堆積されアニールされた材料の1%未満を構成するようにされる。膜の密度
は、3.1立方センチ当りグラム(g/cm3)未満から約3.9g/cm3へ増
加される。堆積された膜内へ取込まれた炭素の分量は、25%以上低減され、そ
れにより、炭素は堆積膜の3%を構成する。
【0050】 膜の構造に変化が生じ、膜の固有抵抗は、処理前レベルのほぼ10,000μ
Ω−cmから150μΩ−cm程度にまで低減される。アニールされた膜が酸素
、空気、または水蒸気に曝される場合、酸素は、堆積膜がアニールされない場合
よりはるかに少ない程度で吸収される。プラズマアニールは、堆積されたままの
膜での炭素と窒素をプラズマからの窒素に置換えさせる。
【0051】 本発明によると、窒化チタンは、プロセッサ使用の制御ユニットにより制御さ
れるチャンバにおいて堆積できる。図4は、そのような能力で使用できる制御ユ
ニット200を示す。制御ユニット200は、全て制御ユニットバス225へ結
合された、プロセッサユニット205、メモリ210、大容量記憶装置220、
入力制御ユニット270、および表示ユニット250を含む。
【0052】 プロセッサユニット205は、メモリに記憶された命令を実行する能力のある
マイクロプロセッサまたは他のエンジンであってもよい。メモリ210は、ハー
ドディスクドライブ、随時書込み読出しメモリ(「RAM」)、読出し専用メモ
リ(「ROM」)、RAMとROMの組合せ、または他のメモリ、で構成できる
。メモリ210は、本発明により、ウェハ上の基板をプラズマアニールする、耐
熱性金属窒化物を堆積し、そして、耐熱性金属窒化物をプラズマアニールするた
めの上記プロセス工程の遂行を具現化するようプロセッサユニット205が実行
する命令を含む。メモリ210における命令は、プログラムコードの形式であっ
てよい。プログラムコードは、幾多の異なるプログラミング言語のいずれか1つ
に従うことができる。例えば、プログラムコードは、C+、C++、BASIC、Pas
cal、または数多くの他の言語で書かれてもよい。
【0053】 大容量記憶装置220は、磁気ディスクまたは磁気テープなどのプロセッサの
解読可能な記憶媒体から、データおよび命令を記憶し、データおよび命令を取出
す。例えば、大容量記憶装置220は、ハードディスクドライブ、フロッピディ
スクドライブ、テープドライブ、光ディスクドライブ、または、コンパクトディ
スク読出し専用メモリ(「CD−ROM」)ドライブであってもよい。大容量記
憶装置220は、プロセッサユニット205から受取る指示に応答して命令を記
憶し、取出す。大容量記憶ユニット220により記憶され取出されるデータおよ
び命令は、基板のプラズマアニール、耐熱性金属窒化物の堆積、耐熱性金属窒化
物のプラズマアニールを遂行するために、プロセッサユニット205により使用
できる。データおよび命令は、最初に媒体から大容量記憶装置220により取出
すことができて、次に、プロセッサユニット205による使用のためにメモリ2
10へ転送できる。
【0054】 表示ユニット250は、プロセッサユニット205の制御の下で図形表示と文
字数字との形式で情報をチャンバーオペレータへ提供する。入力制御ユニット2
70は、チャンバーオペレータ操作者の入力の受領を提供するよう、キーボード
、マウス、または光ペンなどの、データ入力装置を制御ユニット200へ結合す
る。
【0055】 制御ユニットバス225は、制御ユニットバス225へ結合されている全ての
装置間のデータと制御信号の転送を提供する。制御ユニットバス225は、制御
ユニット200における装置へ直接接続する単一のバスとして表示されているが
、制御ユニット225は、バスの集合であってもよい。例えば、プロセッサユニ
ット205とメモリ210はローカルプロセッサバスへ結合される一方で、表示
ユニット250、入力制御ユニット270、および大容量記憶装置220は、入
力出力周辺器バスへ結合されて良い。ローカルプロセッサバスと入力出力周辺器
バスは相互に結合でき、制御ユニットバス225を形成する。
【0056】 制御ユニット200は、本発明による、基板のプラズマアニール、耐熱性金属
窒化物の堆積、耐熱性金属窒化物のプラズマアニールを遂行するために、図3に
示すチャンバのような、チャンバの構成要素へ結合される。チャンバ構成要素の
各々は、制御ユニット200とそれぞれの構成要素との間の通信を具現化する
よう制御ユニットバス225へ結合できる。図3を参照して示された、これらの
チャンバ構成要素は、ガスパネル143、抵抗性コイルなどの加熱素子141、
圧力制御ユニット140、信号ソース136、および、温度決定装置を含む。
【0057】 制御ユニット200は、本発明による、基板のプラズマアニール、耐熱性金属
窒化物の堆積、耐熱性金属窒化物のプラズマアニールのプロセス工程に対して上
記操作を構成要素に遂行させる信号をチャンバ構成要素へ供給する。制御ユニッ
ト200は、これらのプロセス工程を制御することにおける進行方法を決定する
よう、これらの構成要素から信号も受取る。例えば、制御ユニット200は、温
度決定装置160から信号を受取り、ウェハの所望の温度を設定するために加熱
素子141が供給すべき熱の量を決定する。
【0058】 図5は、メモリ210から受取るプログラムコード命令に応答してプロセッサ
ユニット205により遂行され得るプロセス工程の順序を示す。本発明による耐
熱性金属窒化物膜の形成を開始すると、工程300で、ウェハ上の露出した基板
のプラズマアニールが遂行される。
【0059】 それに応じて、プロセッサユニット205は、耐熱性金属窒化物膜が形成され
ることになる基板をプラズマアニールさせるよう、プロセッサユニットにチャン
バ130の構成要素の操作を指示させる命令をメモリ210から取出す。例えば
、プロセッサユニット205は、図1(b)を参照して先に説明したように、基
板をプラズマアニールする操作をするよう、圧力制御ユニット140、加熱素子
141、ガスパネル143、温度決定装置160、および信号ソース136、に
命令する。
【0060】 基板のプラズマアニール(工程300)に続き、堆積工程301が行なわれる
。堆積工程301で、プロセッサユニット205は、メモリ210から取出され
た命令を実行する。これらの命令の実行は、図1(c)を参照して説明したよう
に、プラズマアニールされた基板上へ、窒化チタンなどの、耐熱性金属窒化物の
層を堆積するよう、チャンバ130の構成要素が操作される結果となる。例えば
、プロセッサユニット205は、図1(c)を参照して上記で説明したように、
プラズマアニールされた基板上に耐熱性金属窒化物の層を堆積する操作をするよ
う、圧力制御ユニット140、加熱素子141、ガスパネル143、および温度
決定装置160、を制御する。
【0061】 耐熱性金属窒化物の堆積(工程301)が完了した後に、工程302で、メモ
リ210から取出された命令が、図1(d)を参照して上記で説明したプラズマ
アニール手順の1つのような、耐熱性金属窒化物のプラズマアニールをチャンバ
130の構成要素に遂行させるよう、プロセッサユニット205に命令する。プ
ラズマアニールは、窒素、窒素と水素の混合、または窒素、水素、とアルゴンな
どの別のガスとの混合でのプラズマアニールを含むことができる。代替として、
プラズマアニール工程302は、先に説明したように、連続したアニールを実行
させることができる。工程302でプラズマアニールを遂行することでは、プロ
セッサユニット205は、図1(d)を参照して先に説明したように、耐熱性金
属窒化物のプラズマアニールを実行する操作をするよう、圧力制御ユニット14
0、加熱素子141、ガスパネル143、温度決定装置160、および信号ソー
ス136、に命令する。
【0062】 本発明は特定の実施例の実施の形態に関して説明されたが、種々の変形と変更
が、先に記載の特許請求の範囲に規定される本発明の精神と範囲から逸脱するこ
となく、この技術に精通した者によりなされ得ることが理解されよう。
【図面の簡単な説明】
【図1a】 本発明による耐熱性金属窒化物膜の形成を示す断面図である。
【図1b】 本発明による耐熱性金属窒化物膜の形成を示す断面図である。
【図1c】 本発明による耐熱性金属窒化物膜の形成を示す断面図である。
【図1d】 本発明による耐熱性金属窒化物膜の形成を示す断面図である。
【図2a】 プラズマアニールされていない基板上に堆積された窒化チタンを有する構造の
オージェ深さプロファイルを示す線図である。
【図2b】 本発明によりプラズマアニールされた基板上に堆積された窒化チタンを有する
構造のオージェ深さプロファイルを示す線図である。
【図3】 本発明により耐熱性金属窒化物膜を形成するためのチャンバを示す概略図であ
る。
【図4】 本発明により基板上へ耐熱性金属窒化物膜を形成するために使用されるチャン
バを制御するため制御ユニットを示す概略図である。
【図5】 本発明により、図4に示す制御ユニットを介して行なわれる操作の順序を示す
フローチャートである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チャン, メイ アメリカ合衆国, カリフォルニア州, サラトガ, コート ドゥ アルクエロ 12881 (72)発明者 チェン, リン アメリカ合衆国, カリフォルニア州, サニーヴェイル, ダートシャー ウェイ 784 (72)発明者 スミス, デイヴィッド, シー. アメリカ合衆国, カリフォルニア州, サンタ クララ, ウィーリング ドライ ヴ 3452 (72)発明者 リットー, カール, エー. アメリカ合衆国, カリフォルニア州, パロ アルト, ブライアント ストリー ト 3278 (72)発明者 チャーン, チー アメリカ合衆国, カリフォルニア州, サラトガ, ノールウッド ドライヴ 20110 (72)発明者 リャオ, マーヴィン シンガポール共和国, シンガポール 799018, ジャラン ウッドブリッジ 85 Fターム(参考) 4K030 BA18 BA38 CA05 CA12 DA02 DA09 JA09 JA10 JA16 KA30 KA41 LA15 4M104 BB30 CC01 DD22 DD44 DD45 DD77 FF28 HH08 HH09

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 材料の層を基板上に形成するための方法であって、 (a)前記基板をイオンでボンバードする工程と、 (b)前記工程(a)に続き、耐熱性金属窒化物の層を前記基板上に堆積する工
    程と、および (c)前記耐熱性金属窒化物の層を第1セットのイオンでボンバードする工程と を含む方法。
  2. 【請求項2】 前記工程(a)は、 前記基板を、イオンを含むプラズマへ曝す工程と、および 前記プラズマからの前記イオンを前記基板へ衝突させるように、前記基板を電気
    的にバイアスする工程とを含む、請求項1に記載の方法。
  3. 【請求項3】 前記基板を前記プラズマへ曝す前記工程は、 ガスを供給する工程と、および 前記ガスへエネルギーを供給する工程とを含む、請求項2に記載の方法。
  4. 【請求項4】 前記ガスへエネルギーを供給する前記工程は、 周波数を有する信号を、前記ウェハの第1側面上の第1電極へ供給する工程を含
    む、請求項3に記載の方法。
  5. 【請求項5】 前記周波数は、350から600キロヘルツの範囲であり、
    前記信号は、300から1,200ワットの範囲の電力を有する、請求項4に記
    載の方法。
  6. 【請求項6】 前記ウェハは、300℃から475℃の範囲の温度に設定さ
    れる、請求項5に記載の方法。
  7. 【請求項7】 前記工程(a)は、処理チャンバ内で実行され、前記処理チ
    ャンバ内の圧力は、前記工程(a)が実行されている間、0.3から10 Torr
    の範囲である、請求項1に記載の方法。
  8. 【請求項8】 前記ガスは、窒素、水素、およびヘリウムから成る群から選
    択される少なくとも1つのガスを含む、請求項4に記載の方法。
  9. 【請求項9】 前記基板は五酸化タンタルである、請求項8に記載の方法。
  10. 【請求項10】 前記基板はポリシリコンである、請求項8に記載の方法。
  11. 【請求項11】 前記工程(a)、前記工程(b)、および前記工程(c)
    は全て、単一の処理チャンバ内で、前記工程(a)の開始と前記工程(c)の完
    了との間、前記単一の処理チャンバから前記基板を取り出すことなく実行される
    、請求項1に記載の方法。
  12. 【請求項12】 前記工程(b)は、化学的気相堆積を用いて実行される、
    請求項1に記載の方法。
  13. 【請求項13】 前記耐熱性金属窒化物の層は、有機金属化合物の熱分解に
    より堆積される、請求項12に記載の方法。
  14. 【請求項14】 前記耐熱性金属窒化物は窒化チタンである、請求項13に
    記載の方法。
  15. 【請求項15】 前記工程(c)は、 前記耐熱性金属窒化物を、前記第1セットのイオンを含むプラズマへ曝す工程
    と、および 前記プラズマからの前記第1セットのイオンを前記基板に衝突させるべく前記
    耐熱性金属窒化物を電気的にバイアスする工程とを含む、請求項1に記載の方法
  16. 【請求項16】 前記耐熱性金属窒化物を前記プラズマへ曝す前記工程は、 ガスを供給する工程と、および 前記ガスへエネルギーを供給する工程とを含む、請求項15に記載の方法。
  17. 【請求項17】 前記ガスへエネルギーを供給する前記工程は、 周波数を有する信号を、前記ウェハの第1側面上の第1電極へ供給する工程を
    含む、請求項16に記載の方法。
  18. 【請求項18】 前記ガスは、窒素、水素、アルゴン、ヘリウム、およびア
    ンモニアから成る群から選択される少なくとも1つのガスを含む、請求項17に
    記載の方法。
  19. 【請求項19】 前記耐熱性金属窒化物は窒化チタンである、請求項18に
    記載の方法。
  20. 【請求項20】 更に、 (d)前記工程(c)に続き、前記耐熱性金属窒化物の層を第2セットのイオン
    でボンバードする工程を含む、請求項1に記載の方法。
  21. 【請求項21】 前記工程(c)は、 前記耐熱性金属窒化物を前記第1セットのイオンを含む第1プラズマへ曝す工
    程と、および 前記第1セットのイオンを前記耐熱性金属窒化物の層に衝突させるべく前記耐
    熱性金属窒化物を電気的にバイアスする工程とを含み、 前記工程(d)は、 前記耐熱性金属窒化物を前記第2セットのイオンを含む第2プラズマへ曝す工
    程と、および 前記第2セットのイオンを前記耐熱性金属窒化物の層に衝突させるべく前記耐
    熱性金属窒化物を電気的にバイアスする工程とを含む、請求項20に記載の方法
  22. 【請求項22】 前記耐熱性金属窒化物を前記第1プラズマへ曝す前記工程
    は、 第1ガスを供給する工程と、および 前記第1プラズマを生成するために、前記第1ガスへエネルギーを供給する工
    程と、を含み、 前記耐熱性金属窒化物を第2プラズマへ曝す前記工程は、 第2ガスを供給する工程と、および 前記第2プラズマを生成するために、前記第2ガスへエネルギーを供給する工
    程とを含む、請求項21に記載の方法。
  23. 【請求項23】 前記第1ガスへエネルギーを供給する前記工程は、 第1周波数を有する第1信号を前記基板の第1側面上の第1電極へ供給する工
    程を含み、 前記第2ガスへエネルギーを供給する前記工程は、 第2周波数を有する第2信号を、前記ウェハの前記第1側面上の前記第1電極
    へ供給する工程を含む、請求項22に記載の方法。
  24. 【請求項24】 前記第1ガスは、窒素、水素、アルゴン、ヘリウム、およ
    びアンモニアから成る群から選択される少なくとも1つのガスを含む、請求項2
    2に記載の方法。
  25. 【請求項25】 前記第2ガスは、窒素、ヘリウム、ネオン、およびアルゴ
    ンから成る群から選択される少なくとも1つのガスを含む、請求項22に記載の
    方法。
  26. 【請求項26】 前記工程(b)は、化学的気相堆積を用いて実行される、
    請求項20に記載の方法。
  27. 【請求項27】 前記耐熱性金属窒化物の層は、窒化チタンである請求項2
    6に記載の方法。
  28. 【請求項28】 内部に組込まれたプログラムコードを有するプロセッサ可
    読記憶媒体であって、前記プログラムコードは半導体ウェハ上の基板上への膜の
    構成中にチャンバを制御し、前記チャンバは、ガスパネル、加熱素子、圧力制御
    ユニット、および信号ソースを包含し、前記プログラムコードは、 第1プログラムコードであって、前記ガスパネル、前記加熱素子、前記信号ソ
    ース、および前記圧力制御ユニットへ、前記基板をプラズマアニールさせる信号
    を供給するようプロセッサに命令する第1プログラムコードと、 第2プログラムコードであって、前記第1プログラムコードに応答して前記基
    板がプラズマアニールされた後に、前記ガスパネル、前記加熱素子、および前記
    圧力制御ユニットへ、前記チャンバにおいて前記基板上に耐熱性金属窒化物の層
    を堆積させる信号を供給するようプロセッサに命令する第2プログラムコードと
    、 第3プログラムコードであって、前記ガスパネル、前記加熱素子、前記圧力制
    御ユニット、および前記信号ソースへ、前記耐熱性金属窒化物の層を初めてプラ
    ズマアニールさせる信号を供給するよう前記プロセッサに命令する第3プログラ
    ムコードと を含むプロセッサ可読記憶媒体。
  29. 【請求項29】 前記第1プログラムコードは、前記ガスパネルに、窒素、
    水素、およびヘリウムから成る群から選択される少なくとも1つのガスを供給さ
    せるよう、前記プロセッサに命令する、請求項28に記載のプロセッサ可読記憶
    媒体。
  30. 【請求項30】 前記プログラムコードは、更に、 第4プログラムコードを含み、前記第4プログラムコードは、前記ガスパネル
    、前記加熱素子、前記圧力制御ユニット、および前記信号ソースへ、前記耐熱性
    金属窒化物の層を第2回目にプラズマアニールさせる信号を供給するよう前記プ
    ロセッサに命令する、請求項29に記載のプロセッサ可読記憶媒体。
  31. 【請求項31】 前記第3プログラムコードは、前記ガスパネルに、窒素、
    水素、アルゴン、ヘリウム、およびアンモニア、から成る群から選択される少な
    くとも1つのガスを供給させるよう前記プロセッサに命令し、 前記第4プログラムコードは、前記ガスパネルに、窒素、ヘリウム、ネオン、
    およびアルゴン、から成る群から選択される少なくとも1つのガスを供給させる
    よう前記プロセッサに命令する、請求項30に記載のプロセッサ可読記憶媒体。
JP2000540574A 1998-01-20 1998-11-25 密着性を改良するための基板のプラズマアニーリング Withdrawn JP2002510145A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/008,796 1998-01-20
US09/008,796 US6291343B1 (en) 1994-11-14 1998-01-20 Plasma annealing of substrates to improve adhesion
PCT/US1998/025276 WO1999036955A1 (en) 1998-01-20 1998-11-25 Plasma annealing of substrates to improve adhesion

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011057597A Division JP2011139093A (ja) 1998-01-20 2011-03-16 密着性を改良するための基板のプラズマアニーリング

Publications (1)

Publication Number Publication Date
JP2002510145A true JP2002510145A (ja) 2002-04-02

Family

ID=21733731

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000540574A Withdrawn JP2002510145A (ja) 1998-01-20 1998-11-25 密着性を改良するための基板のプラズマアニーリング
JP2011057597A Pending JP2011139093A (ja) 1998-01-20 2011-03-16 密着性を改良するための基板のプラズマアニーリング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011057597A Pending JP2011139093A (ja) 1998-01-20 2011-03-16 密着性を改良するための基板のプラズマアニーリング

Country Status (5)

Country Link
US (1) US6291343B1 (ja)
JP (2) JP2002510145A (ja)
KR (1) KR100582218B1 (ja)
TW (1) TW442853B (ja)
WO (1) WO1999036955A1 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU9296098A (en) * 1997-08-29 1999-03-16 Sharon N. Farrens In situ plasma wafer bonding method
US6284663B1 (en) * 1998-04-15 2001-09-04 Agere Systems Guardian Corp. Method for making field effect devices and capacitors with thin film dielectrics and resulting devices
TW455912B (en) * 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
KR100363081B1 (ko) * 1999-09-16 2002-11-30 삼성전자 주식회사 박막 형성장치
KR20010066386A (ko) * 1999-12-31 2001-07-11 박종섭 플래시 메모리의 게이트전극 제조방법
US6436820B1 (en) * 2000-02-03 2002-08-20 Applied Materials, Inc Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
KR100383759B1 (ko) * 2000-06-15 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6458722B1 (en) 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
US6482477B1 (en) * 2000-11-28 2002-11-19 Tokyo Electron Limited Method for pretreating dielectric layers to enhance the adhesion of CVD metal layers thereto
US20020106881A1 (en) * 2000-12-07 2002-08-08 Jain Manoj K. Prevention of contact failure by hydrogen treatment
US6777327B2 (en) * 2001-03-28 2004-08-17 Sharp Laboratories Of America, Inc. Method of barrier metal surface treatment prior to Cu deposition to improve adhesion and trench filling characteristics
US6780759B2 (en) * 2001-05-09 2004-08-24 Silicon Genesis Corporation Method for multi-frequency bonding
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP2004165634A (ja) * 2002-08-15 2004-06-10 Interuniv Micro Electronica Centrum Vzw Ald表面処理のためのプラズマ処理
EP1677852A4 (en) 2003-09-16 2009-06-24 Cardiomems Inc WIRELESS IMPLANTABLE DETECTOR
US8026729B2 (en) 2003-09-16 2011-09-27 Cardiomems, Inc. System and apparatus for in-vivo assessment of relative position of an implant
US7662653B2 (en) * 2005-02-10 2010-02-16 Cardiomems, Inc. Method of manufacturing a hermetic chamber with electrical feedthroughs
US7647836B2 (en) 2005-02-10 2010-01-19 Cardiomems, Inc. Hermetic chamber with electrical feedthroughs
AU2006262287A1 (en) 2005-06-21 2007-01-04 Cardiomems, Inc. Method of manufacturing implantable wireless sensor for in vivo pressure measurement
US8426763B2 (en) * 2009-04-23 2013-04-23 Micron Technology, Inc. Rapid thermal processing systems and methods for treating microelectronic substrates
CN104451593A (zh) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 沉积薄膜的方法
MX2018006317A (es) 2015-11-22 2019-01-31 Atmospheric Plasma Solutions Inc Metodo y dispositivo para promover la adhesion de superficies metalicas.
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9620479B1 (en) 2016-06-30 2017-04-11 International Business Machines Corporation 3D bonded semiconductor structure with an embedded resistor
US9716088B1 (en) 2016-06-30 2017-07-25 International Business Machines Corporation 3D bonded semiconductor structure with an embedded capacitor
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10020281B2 (en) 2016-08-30 2018-07-10 International Business Machines Corporation Metal bonding pads for packaging applications
US9640509B1 (en) 2016-09-29 2017-05-02 International Business Machines Corporation Advanced metal-to-metal direct bonding
US10217725B2 (en) 2017-02-23 2019-02-26 International Business Machines Corporation Microstructure modulation for metal wafer-wafer bonding
US10141392B2 (en) 2017-02-23 2018-11-27 International Business Machines Corporation Microstructure modulation for 3D bonded semiconductor structure with an embedded capacitor
US10141391B2 (en) 2017-02-23 2018-11-27 International Business Machines Corporation Microstructure modulation for 3D bonded semiconductor containing an embedded resistor structure
US10886168B2 (en) 2019-06-04 2021-01-05 International Business Machines Corporation Surface modified dielectric refill structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142412A (ja) * 1993-03-25 1995-06-02 Nippon Steel Corp 半導体装置における金属薄膜形成方法
JPH08162534A (ja) * 1994-12-08 1996-06-21 Hitachi Ltd 半導体集積回路装置およびその製造方法ならびにそれに用いる製造装置
JPH09120991A (ja) * 1995-08-07 1997-05-06 Applied Materials Inc 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成
JPH09172083A (ja) * 1995-11-01 1997-06-30 Hyundai Electron Ind Co Ltd 半導体素子の金属配線製造方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2371524A1 (fr) 1976-11-18 1978-06-16 Alsthom Atlantique Procede de depot d'une couche mince par decomposition d'un gaz dans un plasma
JPS58221271A (ja) * 1982-06-18 1983-12-22 Citizen Watch Co Ltd イオンプレ−テイング法による被膜形成方法
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5017403A (en) 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
KR930005947B1 (ko) 1989-05-09 1993-06-29 후지쓰 가부시끼가이샤 반도체장치 제조방법
US5127988A (en) * 1989-12-27 1992-07-07 Yoshida Kogyo K.K. Process for the surface treatment of conductive material
KR920006533A (ko) * 1990-09-28 1992-04-27 제임스 조셉 드롱 증착된 박막의 장벽성을 개선하기 위한 플라즈마 어닐링 방법
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5232871A (en) * 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JPH04359557A (ja) * 1991-06-06 1992-12-11 Nec Corp 半導体装置の製造方法
US5314603A (en) 1991-07-24 1994-05-24 Tokyo Electron Yamanashi Limited Plasma processing apparatus capable of detecting and regulating actual RF power at electrode within chamber
US5308655A (en) * 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
EP0545602A1 (en) 1991-11-26 1993-06-09 STMicroelectronics, Inc. Method for forming barrier metal layers
US5312774A (en) 1991-12-05 1994-05-17 Sharp Kabushiki Kaisha Method for manufacturing a semiconductor device comprising titanium
JPH05243180A (ja) * 1992-03-02 1993-09-21 Fujitsu Ltd 半導体装置の製造方法
JP2786071B2 (ja) * 1993-02-17 1998-08-13 日本電気株式会社 半導体装置の製造方法
KR100326488B1 (ko) 1993-06-21 2002-06-20 조셉 제이. 스위니 플라즈마화학기상증착법
JPH0745554A (ja) * 1993-07-30 1995-02-14 Sony Corp 配線形成方法
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5451258A (en) 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
EP0711846A1 (en) * 1994-11-14 1996-05-15 Applied Materials, Inc. Titanium nitride deposited by chemical vapor deposition
US5712193A (en) 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
US5605724A (en) 1995-03-20 1997-02-25 Texas Instruments Incorporated Method of forming a metal conductor and diffusion layer
KR0164149B1 (ko) * 1995-03-28 1999-02-01 김주용 타이타늄 카보 나이트라이드층의 개질 방법
JPH0964035A (ja) * 1995-08-23 1997-03-07 Sony Corp 配線形成方法
KR970052089A (ja) 1995-12-05 1997-07-29
TW365685B (en) 1996-10-31 1999-08-01 Texas Instruments Inc Low-temperature processes for depositing barrier films containing tungsten and nitrogen

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142412A (ja) * 1993-03-25 1995-06-02 Nippon Steel Corp 半導体装置における金属薄膜形成方法
JPH08162534A (ja) * 1994-12-08 1996-06-21 Hitachi Ltd 半導体集積回路装置およびその製造方法ならびにそれに用いる製造装置
JPH09120991A (ja) * 1995-08-07 1997-05-06 Applied Materials Inc 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成
JPH09172083A (ja) * 1995-11-01 1997-06-30 Hyundai Electron Ind Co Ltd 半導体素子の金属配線製造方法

Also Published As

Publication number Publication date
KR20010034262A (ko) 2001-04-25
KR100582218B1 (ko) 2006-05-23
TW442853B (en) 2001-06-23
US6291343B1 (en) 2001-09-18
WO1999036955A1 (en) 1999-07-22
JP2011139093A (ja) 2011-07-14

Similar Documents

Publication Publication Date Title
JP2002510145A (ja) 密着性を改良するための基板のプラズマアニーリング
JP5590731B2 (ja) 酸化表面層のハイブリッドその場ドライクリーニングプロセス
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US6355106B1 (en) Deposition of copper with increased adhesion
US6221792B1 (en) Metal and metal silicide nitridization in a high density, low pressure plasma reactor
US6319728B1 (en) Method for treating a deposited film for resistivity reduction
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US20020155219A1 (en) Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US7592257B2 (en) Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming
US6872429B1 (en) Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6155198A (en) Apparatus for constructing an oxidized film on a semiconductor wafer
US6455421B1 (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
US7846835B2 (en) Contact barrier layer deposition process
JP2010503996A (ja) ハフニウム含有材料を乾式エッチングする方法およびシステム
US8216933B2 (en) Krypton sputtering of low resistivity tungsten
TWI488235B (zh) 全金屬閘極結構之圖案成形方法
US8501628B2 (en) Differential metal gate etching process
JPH10313054A (ja) 集積回路用の相互結合構造
TW202229582A (zh) 改良阻障性質之鈦材料的氮化物覆蓋
JP3235549B2 (ja) 導電層形成法
TW200408053A (en) Method of forming a metal line in a semiconductor device
JP3352246B2 (ja) 半導体装置及びその製造方法
JPH05299376A (ja) 半導体装置の製造方法
JP2000294517A (ja) 半導体装置の製造方法
JPH05291182A (ja) Ecrプラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090910

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090910

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101111

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101116

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110502

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20121122