JP2001505676A - デジタル回路において供給電圧および/またはクロック信号の周波数をダイナミックに調整するための方法および回路 - Google Patents

デジタル回路において供給電圧および/またはクロック信号の周波数をダイナミックに調整するための方法および回路

Info

Publication number
JP2001505676A
JP2001505676A JP50762898A JP50762898A JP2001505676A JP 2001505676 A JP2001505676 A JP 2001505676A JP 50762898 A JP50762898 A JP 50762898A JP 50762898 A JP50762898 A JP 50762898A JP 2001505676 A JP2001505676 A JP 2001505676A
Authority
JP
Japan
Prior art keywords
signal
supply voltage
level
path
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP50762898A
Other languages
English (en)
Other versions
JP4024306B2 (ja
Inventor
アブデセレム,オウリド
ミジャスコビック,デジャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Freescale Semiconducteurs France SAS
Original Assignee
Motorola Semiconducteurs SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Semiconducteurs SA filed Critical Motorola Semiconducteurs SA
Publication of JP2001505676A publication Critical patent/JP2001505676A/ja
Application granted granted Critical
Publication of JP4024306B2 publication Critical patent/JP4024306B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Pulse Circuits (AREA)
  • Logic Circuits (AREA)
  • Power Sources (AREA)

Abstract

(57)【要約】 デジタル回路(204,404)において供給電圧(Vdd)をダイナミックに調整する方法および回路(200,300)は、デジタル回路における信号経路(222,310)上の信号の伝播遅延(tpd)を判定し、判定された伝播遅延が、デジタル回路をクロックするクロック信号(CLK)のクロック周期などの所定の期間に等しくなるように、供給電圧を調整する。判定された伝播遅延が所定の期間に等しい場合、調整済み供給電圧はデジタル回路(204,404)に印加される。この方法は、電力消費および処理能力を最適化するために利用できる。

Description

【発明の詳細な説明】 デジタル回路において供給電圧および/または クロック信号の周波数をダイナミックに 調整するための方法および回路 発明の分野 本発明は、デジタル回路の電力消費および/または処理能力が最適化されると ころの、デジタル回路において供給電圧および/またはクロック信号の周波数を ダイナミックに調整するための方法および回路に関する。 発明の背景 デジタル・セルラ電話などの携帯デジタル装置や、ピコセル・セルラ基地局(p icocell cellular base stations)など電力消費または電力散逸に敏感な他のデ ジタル用途では、省電力化は重要な事項である。例えば、バッテリ駆動の携帯装 置では、省電力化は、バッテリの交換または再充電までに携帯装置が動作可能な 期間を延長できる。非バッテリ駆動型装置でさえも、装置の部品の信頼性を改善 できるという点で、省電力化は有利である。 デジタル・セルラ電話などのデジタル装置の一部である デジタル回路は、供給電圧Vddおよびクロック信号を入力として必要とする。 このようなデジタル回路における電力消費(P)は、次式によって近似的に表す ことができる: P=c*Fref*Vdd2 ここで、Frefはデジタル回路をクロックするクロック信号の周波数であり、 cはデジタル回路の等価容量(equivalent capacitance)であり、Vddはデジタ ル回路の供給電圧である。 従って、この式から、クロック周波数Frefおよび供給電圧Vddを、デジ タル回路の適正機能を保証する限界まで低下させることにより、電力消費を軽減 できることが明らかである。 電力消費を軽減するための多くの異なる方法がすでに検討されてきた。例えば 、処理が必要なときにクロック信号をできるだけ高速にして、処理が必要ないと きにクロックを完全に停止させることは、一部の用途で知られている。この方法 は、実質的に常に何らかの処理を必要とする用途では利用できない。米国特許第 5,378,935号では、処理能力の必要に応じてクロック信号のクロック周 波数を調整することにより電力消費を最適化するための方法が開示されている。 これら両方の方法は、電力消費の線形的な低下を達成する。 同様に、一定のより低い供給電圧で動作することにより電力消費の低下を達成 することも知られている。電力消費はVdd2に依存するので、このような供給 電圧の低下は節電の向上を達成する。ただし、この結果、性能が劣化し、これは 一部の用途では望ましくない。 ある既知のシステムは、供給電圧がゼロになるパワーダウン・モードを有する ことによって電力消費を低減する。クロックが完全に停止する期間を有するシス テムと同様に、この方法も実質的に常に何らかの処理を必要とする用途では利用 できない。 欧州特許出願第EP−A−0632360号では、実行されるタスクに応じて クロック信号の周波数および供給電圧を調整することにより電力消費を低減する ための方法が開示されている。すべての既知の方法と同様に、本特許出願に開示 される方法も、例えば、温度,経時劣化(aging)および回路製造工程に起因する 経時的および回路単位の回路パラメータの変化を考慮していない。 従って、上記の欠点を克服する、デジタル回路において電力消費をダイナミッ クに制御するための改善された方法が必要とされる。 発明の概要 本発明の第1の態様に従って、デジタル回路において供 給電圧をダイナミックに調整するための方法が提供され、前記方法は: 前記デジタル回路における信号経路上の信号の伝搬遅延を判定する段階; 前記伝搬遅延が所定の期間に達したと判定されるまで、前記判定された伝搬遅 延に応じて供給電圧のレベルを調整する段階であって、前記供給電圧は、前記判 定された伝搬遅延が前記所定の期間に達すると第1レベルを有する、段階;およ び 前記第1レベルを有する供給電圧を前記デジタル回路の構成要素に与える段階 ; によって構成される。 なお、好ましくは経路のゲートをクロックするクロック信号のクロック周期に 対応する所定の期間に前記伝搬遅延が達するまで、供給電圧のレベルを調整する ことにより、本発明は、この経路にとって最適な供給電圧をリアルタイムで判定 し利用できる。従って、例えば温度,経時劣化および回路製造工程に起因する経 時的および回路単位の回路パラメータの変化を考慮に入れて、電力消費をリアル タイムで最適化できる。 好適な構成では、信号はクロック信号によって信号経路上でクロックされ、ま た前記判定する段階は: 前記信号経路に対して重複経路を設ける段階であって、前記重複経路は前記信 号経路と実質的に同じ構成要素から なり、かつ入力および出力を有する、段階; 前記クロック信号を前記重複経路の入力に印加する段階; 前記重複経路の出力におけるクロック信号の位相と、前記重複経路の入力にお けるクロック信号の位相とを比較して、位相誤差信号を与える段階であって、前 記位相誤差信号は、伝搬遅延が前記所定の期間に達するとゼロである、段階; によって構成され、前記調整する段階は、前記位相誤差信号に基づいて前記供 給電圧のレベルを調整する段階によって構成される。 本発明の第1の態様の第2実施例に従って、請求の範囲の請求項7に記載され るように、デジタル回路において供給電圧をダイナミックに調整するための方法 が提供される。 本発明の第2の態様に従って、デジタル回路においてクロック信号の周波数お よび供給電圧をダイナミックに調整するための方法が提供され、前記方法は: 前記デジタル回路によって実行されるタスクを判定する段階; 前記判定されたタスクに応じてクロック信号の周波数を調整し、前記調整され たクロック信号を前記デジタル回路の構成要素に供給する段階; 前記デジタル回路における信号経路上の信号の伝搬遅延を判定する段階; 前記判定された伝搬遅延が所定の期間に達するまで、前 記クロック信号の調整済み周波数と、前記判定済み伝搬遅延とに応じて、調整さ れた供給電圧を与えるため供給電圧のレベルを調整する段階であって、前記供給 電圧は、前記判定済み伝搬遅延が前記所定の期間に達すると第1レベルを有する 、段階;および 前記第1レベルを有する供給電圧を前記デジタル回路の構成要素に与える段階 ; によって構成される。 本発明の第3の態様に従って、デジタル回路においてクロック信号のクロック 周波数をダイナミックに調整するための方法が提供され、前記方法は: 所定のレベルを有する供給電圧を生成し、デジタル回路の構成要素に与える段 階; 前記デジタル回路おける信号経路上の信号の伝搬遅延を判定する段階; 前記伝搬遅延が所定の期間に達したことが判定されるまで、前記判定済み伝搬 遅延に応じて前記クロック信号のクロック周波数を調整する段階であって、前記 調整済みクロック周波数は、前記判定済み伝搬遅延が前記所定の期間に達すると 第1周波数を有する、段階;および 前記第1周波数を有するクロック信号を前記デジタル回路の構成要素に与える 段階; によって構成される。 本発明のこの第3の態様の利点は、デジタル回路の処理 能力を、例えば温度などのある条件に応じて、増加できることである。 好適な構成では、最大レベルを有する供給電圧が与えられる。伝搬遅延が前記 所定の期間に達すると、クロック信号はデジタル回路にとって最大周波数となる 。 図面の簡単な説明 以下の添付の図面を参照して、本発明の異なる態様および実施例について、一 例としてのみ説明する。 第1図は、2つの異なる技術について、供給電圧(Vdd)の関数としての伝 搬遅延(tpd)のグラフである。 第2図は、デジタル回路において供給電圧のレベルをダイナミックに調整する 第1回路の概略ブロック図である。 第3図は、デジタル回路において供給電圧のレベルをダイナミックに調整する 第2回路の概略ブロック図である。 第4図は、第3図の第2回路を利用して、本発明に従って供給電圧を調整する 方法のフローチャートである。 第5図は、デジタル回路において供給電圧のレベルおよびクロック信号のクロ ック周波数をダイナミックに調整する第1システムの簡略ブロック図である。 第6図は、デジタル回路において供給電圧のレベルおよびクロック信号のクロ ック周波数をダイナミックに調整する第2システムの簡略ブロック図である。 詳細な説明 上式から電力消費は供給電圧Vddの二乗に依存するので、任意の時間におけ る供給電圧の最適レベルを少なくとも得ることが望ましい。 デジタル回路では、クロック信号によって信号経路上でクロックされた信号は 、信号経路のすべてのゲートを介してクロックされるので、伝搬遅延(propagati on delay)が生じる。ここでは、伝搬遅延は、デジタル回路における信号経路の ゲートを介して信号が伝播するのに要する時間として定義される。特定の技術に ついて、伝搬遅延と供給電圧のレベルとの間には関係がある。第1図は、供給電 圧(Vdd)の関数としての伝搬遅延(tpd)のグラフであり、2つの異なる 0.5ミクロン・プロセス技術であるCMOSとGCMOSのそれぞれ2つの曲 線20,22を示す。このグラフから、伝搬遅延は、供給電圧が低下すると伝搬 遅延が増加するように、デジタル回路に供給される供給電圧Vddに依存するこ とが明らかである。 本発明による方法は、デジタル回路における信号経路上の信号の伝搬遅延と、 デジタル回路の供給電圧との間の関係を利用して、本発明の一態様に従って、供 給電圧に対して電力消費を最適化するように、伝搬遅延に応じて供給電圧をダイ ナミックに調整する。伝搬遅延が所定の期間、す なわち、好ましくは経路のゲートをクロックするクロック信号のクロック周期に 対応する所定の期間に達するまで、供給電圧のレベルを調整することにより、本 発明は、この経路にとって最適な供給電圧をリアルタイムに判定し、利用できる 。すなわち、あるクロック周波数について、本発明は、デジタル回路を適正に動 作させることが可能な最大伝搬遅延、ひいては供給電圧の最小レベルを判定する 。 なお、固定周波数クロック信号を利用するシステムにおいて、あるいはクロッ ク信号の周波数をダイナミックに変化させるシステムにおいても、本発明に従っ て、供給電圧は伝搬遅延に基づいてダイナミックに変更できることが理解される 。ただし、後者の場合、クロック信号の周波数を最初に選択して、次に供給電圧 を伝搬遅延と、クロック信号の選択された周波数とに基づいて変更しなければな らない。後者のシステムの例について、第5図を参照して以下で図説する。 伝搬遅延は、プロセス技術に依存するだけでなく、温度や製造プロセス自体に も依存する。また、他のパラメータも伝搬遅延に影響を及ぼすことがある。従っ て、最適供給電圧を選択する際に、これらのパラメータを考慮に入れなければな らない。実際、供給電圧およびクロック信号の周波数はこれらのパラメータの原 因ではなく影響に基づいて適応されるので、これらすべてのパラメータは本発明 によって自動的に考慮される。 ここで第2図を参照して、伝搬遅延を監視することにより、デジタル回路20 4であって、その一部202のみが示されている、デジタル回路204において 、供給電圧をダイナミックに調整する本発明の第1実施例による第1回路200 は、電圧レベル・コントローラ212および電圧発生器208によって構成され る。 デジタル回路204の一部202は、複数のゲートからなる信号経路222に よって構成され、この信号経路222は第1フリップフロップ220と第2フリ ップフロップ224との間に結合され、これら両方のフリップフロップは、クロ ック周波数Frefを有するクロック信号CLKによってクロックされる。信号 Sは、クロック信号CLKによって、かつ信号経路222のゲートの伝搬遅延に 応じて、第1フリップフロップ220から第2フリップフロップ224にクロッ クされる。 電圧レベル・コントローラ212は、クロック信号CLKを受けるべく結合さ れた入力と、電圧制御信号Vctlを電圧発生器208に与えるための出力とを 有する。電圧レベル・コントローラ212の入力におけるクロック信号CLKは 、位相検出器230の第1入力に直接結合され、かつ重複経路226を介して位 相検出器230の第2入力に結合される。重複経路226は、信号経路222と 同じ構成要素またはゲートを有する。好適な実施例では、所定の遅延228は、 重複経路226と位相検出器230の第 2入力との間で結合される。従って、クロック信号CLKに対して遅延されたク ロック信号は、位相検出器230の第2入力に結合される。位相検出器230は 、電圧制御信号Vctlを電圧レベル・コントローラ212の出力に与える。電 圧制御信号Vctlはパルス列(pulse train)であるので、電圧発生器208に よって発生される供給電圧のジッタを防ぐためには、好ましくは電圧レベル・コ ントローラ212の出力と電圧発生器208との間に結合されたフィルタ232 を利用して、電圧制御信号VctlのAC成分を濾波する。 電圧発生器208は、電圧制御信号Vctlを受けるべく結合された第1入力 と、信号Vddmax、すなわち電圧発生器208によって発生される最大供給 電圧を制限する信号、を受けるための第2入力と、電圧レベルが電圧制御信号V ctlに依存する供給電圧Vddを与えるための出力とを有する。バッテリ駆動 型の携帯装置では、信号Vddmaxはバッテリ電圧に等しい。供給電圧Vdd は、デジタル回路のすべての構成要素に供給される。 重複経路226は信号経路222と同じゲートを有するので、両方の経路を介 した伝搬遅延は実質的に同じなはずである。所定の遅延228は、好ましくは、 経路226と222との間に伝搬遅延の差がある場合に備えて設計マージンとし て含まれる。また、所定の遅延228は、フィルタ232および電圧発生器20 8などの構成要素の反応時 間のマージンを与えるために利用され、また濾波後の残りのジッタを補償するた めにも用いられる。従って、経路226,222が同じであっても、伝搬遅延が 常にクロック周期内となることを保証するため、供給電圧が必要以上にわずかに 高くなるように所定の遅延228を設けることが好ましい。 第1回路200は、遅延同期ループ(delay lock loop)を利用して最適レベル を達成するように供給電圧をダイナミックに調整するが、その動作について説明 する。以下では、フリップフロップはクロック信号CLKの立ち上がりエッジで クロックされるものとして説明する。ただし、立下りエッジでもクロックできる ことを理解されたい。 第1フリップフロップ220のデータ入力から出力Qまでクロックされる信号 Sは、理想的には、クロック信号CLKの次の立ち上がりエッジまでに第2フリ ップフロップ224に達するが、これは経路222の伝搬遅延に依存する。経路 222の伝搬遅延は、クロック信号CLKと、重複経路226に起因する伝搬遅 延と、経路222の遅延と実質的に同じように構成された所定の遅延228とを 利用して、位相検出器230によって監視される。 位相検出器230は、第1入力におけるクロック信号CLKの位相と、第2入 力における遅延済みクロック信号の位相とを比較し、出力にて電圧制御信号Vc tlを与え、この電圧制御信号は前記信号間の比較に依存する。電圧制 御信号Vctlまたは位相誤差信号(phase error signal)は、実際のクロック信 号CLKと遅延済みクロック信号との間の誤差、すなわち、与えられたクロック 信号CLKについて伝搬遅延を調整する必要のある量、を表す。 電圧発生器208は、例えば、モトローラ社から供給されるMC33560な ど、任意の周知のDC/DC電圧コンバータによって構築できるが、このMC3 3560の動作については当技術分野において周知であり、本明細書では説明し ない。電圧発生器208は、電圧制御信号または位相誤差信号を利用して、供給 電圧のレベルを調整し、調整済み供給電圧を、経路222,226を含むデジタ ル回路のすべての構成要素に与える。供給電圧に対する調整は、経路222の伝 搬遅延に変化を生じさせ、また重複経路226の伝搬遅延にも同じ変化を生じさ せる。供給電圧は、実際のクロック信号CLKと遅延されたクロック信号との間 の誤差が低減される方向で伝搬遅延が調整されるように、電圧発生器208によ って調整される。 従って、位相検出器230の出力における電圧制御信号Vctlは、位相検出 器230の入力における2つの信号の間の誤差がゼロになるまで、供給電圧のレ ベルを調整するために用いられる。供給電圧がこのクロック信号CLKについて 第1レベルすなわち最適レベルになるときの所定の時間に伝搬遅延が達すると、 誤差はゼロになる。上記の第1回路200では、所定の期間は、クロック信号C LK の期間に等しく、この場合、位相検出器230の第1入力におけるクロック信号 CLKの立ち上がりエッジが第2入力における遅延済み信号の立ち上がりエッジ と同じ時間に現れると、電圧制御信号Vctlまたは位相誤差信号はゼロになる 。 好ましくは、供給電圧のレベルは、第1レベルすなわち最適レベルよりも大き い基準レベルとなるように最初に構成される。これは、デジタル回路が常に適性 に動作できるという点で有利である。そのため、経路222および重複経路22 6の伝搬遅延を所定の期間に増加し、かつ最適供給電圧に達するために、供給電 圧のレベルは基準レベルから低減しなければならない。 経路222は、好ましくは、悪い方の場合の伝搬遅延(worse case propagatio n delay)を与えるために、デジタル回路204における最もクリティカルな経路 となるように選択される。この理由のため、第1回路200およびデジタル回路 204は、好ましくは、同一チップ上で集積すべきである。プロセッサ・コアに ついては、クリティカル経路は与えられた命令に対応する。 なお、第1回路200は、クロック信号の与えられた周波数について信号経路 のゲートを介した実際の伝搬遅延に基づいて、リアルタイムで供給電圧をダイナ ミックに調整することが理解される。このことは、例えば、温度,製造プロセス に起因する伝播遅延の変化を考慮でき、与えられ たクロック周波数について供給電圧の最適レベルを常に利用できることを意味す る。 また、クロック信号の周波数がダイナミックに調整される場合、位相検出器2 30はクロック信号自体を利用して供給電圧のレベル、ひいては伝播遅延を調整 するので、第1回路200は任意のクロック周波数においても最適供給電圧を供 給できる。 ここで第3図を参照して、選択された経路上の信号の伝播遅延を監視すること により、デジタル回路であって、その一部302のみが示されている、デジタル 回路において、供給電圧をダイナミックに調整する本発明の第2実施例による第 2回路300は、制御ユニット305,比較器316および電圧発生器308に よって構成される。各タスクは、デジタル回路の異なる部分を利用してもよく、 このことはクリティカル経路はデジタル回路によって実行されるタスクに応じて 変化することを意味する。好適な実施例では、経路310は実行されるタスクに 応じて選択され、好ましくはこのタスクのクリティカル経路である。 制御ユニット305は、入力信号Sを第1フリップフロップ318を介してク リティカル経路310に与え、かつ予定出力信号Sxを比較器316の第1入力 に与えるように結合される。第lフリップフロップ318は、クロック信号CL Kによってクロックされ、このクロック信号CLKは、クリティカル経路310 の一部であるゲートと、クリ ティカル経路310の出力に結合された第2フリップフロップ320もクロック する。第2ゲート320の出力Qは、比較器316の第2入力に結合される。比 較器316の出力は、制御ユニット305内の推定器307に結合される。制御 ユニット305は、電圧制御信号Vctlを電圧発生器308に与え、電圧発生 器308は、電圧制御信号Vtclに応じて、クリティカル経路310を含むデ ジタル回路のすべての構成要素に供給電圧Vddを出力にて与える。 第2回路300が供給電圧Vddのレベルを選択するための好適な方法につい て、第1図,第3図および好適な方法のフローチャートを示す第4図を参照して 説明する。 好適な実施例では、デジタル回路の所定の信号経路について、供給電圧の所定 のレベルおよび対応する伝播遅延の第1シーケンスは、推定器307に格納され る。好ましくは、所定の経路は悪い方の場合の経路である。この第1シーケンス から、悪い方の場合の状態(温度など)におけるデジタル回路の悪い方の場合の 経路について、第1図に示すような伝播遅延と供給電圧の曲線などの所定の曲線 を生成できる。供給電圧Vddの所定のレベルまたはディフォルト・レベルは、 あるクロック周波数について所定の曲線から選択され(ブロック330)、クリ ティカル経路310のゲートに印加される。 次に、所定のレベルの供給電圧について伝播遅延が測定される(ブロック33 2)。制御ユニット305は、入力 信号Sをクリティカル経路310に与え、比較器316はクリティカル経路の出 力における信号Soutを予定信号Sxと比較する。比較器316の出力は、制 御ユニット305内の推定器307に、クリティカル経路の出力における信号S outと予定信号Sxとの間の比較の結果、すなわち伝播遅延、の指示を与える 。上記のように、クロック信号CLKのあるクロック周波数では、クリティカル 経路310を介した信号の伝播遅延は、供給電圧Vddのレベルに依存する。比 較器316の第1および第2入力における信号が一致すると、比較器316の出 力は有効である。信号が一致しなければ、誤差信号が生成される。 次に、供給電圧のレベルは所定の量だけ所定のレベルから調整され、調整済み 供給電圧はクリティカル経路310に印加される(ブロック334)。入力信号 を与える段階,信号Soutと予定信号Sxとを比較する段階および供給電圧を 調整する段階は、誤差信号が生成される直前まで繰り返される。すなわち、有効 信号が生成される限り、繰り返される。この時点で、伝播遅延は、所定の期間す なわち最適期間に達したことが判定される。供給電圧は、伝播遅延がこの所定の 期間に達するとき第1レベルを有する。調整済み供給電圧の第1レベルは、その 対応する判定済み伝播遅延とともに格納される。このプロセスは、対応する判定 済み伝播遅延とともに供給電圧のレベルのシーケンスを生成するように、第1シ ーケンスの各以降の所定のレベル について繰り返される。第2シーケンスは推定器307に格納される。 第2シーケンスから、伝播遅延と供給電圧の曲線の推定を得ることができる。 これは、いくつかの反復(iteration)および/または外挿(extrapolation)を必要 とするかもしれない。所定の曲線とは異なり、推定伝播遅延と供給電圧の曲線は 、製造プロセス,温度,経時劣化、それにタスクとともに変化する場合にはクリ ティカル経路、に起因する変化を考慮に入れる。 推定曲線が判定されると(ブロック336)、この曲線を利用してあるクロッ ク周波数について最適供給電圧Vddを判定する(ブロック338)。次に、最 適供給電圧Vddは、デジタル回路におけるクリティカル経路310および他の 構成要素に印加される(ブロック340)。信号の伝播遅延が常にクロック周期 内となることを保証するため、最適供給電圧Vddにマージンが追加される。 推定曲線は、例えば、温度の変化に起因する変化を考慮に入れるために周期的 に更新またはリフレッシュされる(ブロック342)。これは、例えば、温度に 起因する伝播遅延の変化を考慮でき、かつあるクロック周波数について供給電圧 の最適レベルを常に得ることができることを意味する。クロック周波数を変更す ると、推定曲線から適切な供給電圧Vddが判定され、電圧制御信号Vctlお よび電圧発生器308を介してデジタル回路に印加される。 従って、最適供給電圧Vddは、クリティカル経路310を利用して推定曲線 が生成されてからでないと、クリティカル経路310およびデジタル回路の残り の部分に印加されない。そのため、第2回路300は2つのモード、すなわち、 推定曲線が判定される推定モードと、供給電圧の選択された値がデジタル回路に 印加される動作モード、の2つのモードにて動作する。推定モード中に、供給電 圧Vddがクリティカル経路310の適正動作のために十分大きくない場合があ る。しかし、推定器307,比較器316および供給電圧を修正することに寄与 する他のブロックは常に適正に動作する。 タスクが変わって、新たな経路がクリティカル経路になると、推定プロセスは 繰り返され、制御ユニット305は入力信号Sを新たなクリティカル経路に与え 、推定器316は予定信号Sxを新たなクリティカル経路の出力における信号S outと比較する。 第1回路200と同様に、第2回路300は、クロック信号のある周波数につ いて、選択された経路を介した実際の伝播遅延に応じて、リアルタイムで供給電 圧をダイナミックに調整する。 第2回路300のすべてまたは一部は、ソフトウェアで構築してもよい。 また、クロック信号の周波数がダイナミックに調整される際、第2回回路30 0はクロック信号自体を利用して供 給電圧のレベル、ひいては伝播遅延を調整するので、第2回路300は任意のク ロック周波数でも最適な供給電圧を供給できる。 第1回路200は、デジタル回路204によって実行されるタスクに関係なく 、デジタル回路内のクリティカル経路と好ましくは一致する重複経路226を必 要とする。このことは、経路226におけるゲートを利用しないタスクについて 、供給電圧レベルをさらに低減できることがありうることを意味する。すなわち 、第1回路200は、静的な場合を除いて、デジタル回路が実行しなければなら ないすべてのタスクについて、また余分な重複経路266を追加するという犠牲 を払って、最適な供給電圧レベルを達成できないことがある。本発明による第2 回濾300は、現タスクの実際のクリティカル経路を見ることによりこの問題に 対処する。第2回路300の別の利点は、いくつかの集積回路について電力消費 を最適化するために利用できることである。さらに、第2回路300は経路22 2のゲートを重複する必要がないので、第2回路300は第1回路よりも高価で ない。 任意のデジタル回路について、クロック周波数Frefは、あるプロセス技術 について毎秒あたりの最大命令数(Mips)を処理するために、できるだけ高 くなるように選択される。ただし、異なるタスクは異なるMipsひいてはクロ ック周波数を必要とする。例えば、セルラ電話 では、スタンドバイ・モードに比べて、スピーチ・トラヒック・モードではより 高いMipsを必要とする。デジタル回路の電力消費は、供給電圧の二乗だけで なくクロック信号CLKの周波数に依存するため、クロック周波数を常に最大限 に維持する回路は不必要に電力を消費し、また供給電圧だけでなくクロック周波 数を変えることによって改善された電力消費が得られることが明らかである。発 明の背景で説明した既知の方法は、実行されるタスクに応じてクロック周波数を 調整する。 第1回路200および第2回路300はともに、クロック周波数が固定されて いるシステムで利用でき、またクロック周波数が変化するシステムでも利用でき る。 本発明の第2の態様に従って、デジタル回路によって実行されるタスクに応じ てクロック信号の周波数をダイナミックに調整し、次にクロック信号の調整済み 周波数と、デジタル回路の判定済みスピードまたは伝播遅延とに応じて供給電圧 のレベルを調整するシステムが提供される。 第5図は、本発明の第2の態様の第1実施例による第1システム2を示す。シ ステム2は、上記の第1回路200を利用して、デジタル回路204におけるス ピードまたは伝播遅延を判定する。経路222は、デジタル回路204の一部で ある。 システム2は、クロック信号CLKをデジタル回路204に供給するクロック 周波数発生器6と、供給電圧Vdd をデジタル回路204に供給する電圧発生器208とによって構成される。クロ ック周波数発生器6によって供給されるクロック信号CLKの周波数Frefは 、周波数コントローラ10によって制御される。デジタル回路204に供給され る供給電圧Vddのレベルは、電圧レベル・コントローラ212によって制御さ れる。 周波数コントローラ10は、デジタル回路204によって実行されるタスクに 関する情報を受けるための入力と、クロック制御信号Cctlをクロック周波数 発生器6に与えるための出力とを有する。クロック信号CLKの周波数Fref は、クロック制御信号Cctlに依存する。また、クロック周波数発生器6は、 信号Frefmax、すなわちクロック周波数発生器6によって発生される最大 周波数を制限する信号、を受ける。信号Frefmaxは、クロック信号CLK の周波数が、最大供給電圧Vddmaxよりも大きい供給電圧を必要とする値を 超えないことを保証する。 電圧レベル・コントローラ212は、クロック周波数発生器6によって発生さ れたクロック信号を受けるための第1入力と、電圧制御信号Vctlを電圧発生 器208に与えるための出力とを有する。従って、電圧制御信号Vctlひいて は供給電圧Vddのレベルは、クロック信号CLKに応じて生成される。また、 電圧発生器208は、信号Vddmax、すなわち、例えば、誤動作に起因して 電圧 発生器8によって発生される最大供給電圧を制限する信号、を受ける。 第2システム2は、単一チップ上で集積してもよく、あるいはディスクリート 構成要素によって構成してもよい。 第1システム2は、次のように動作する。 クロック信号の周波数は、デジタル回路204によって実行されるタスクに応 じて調整される。周波数コントローラ10は、デジタル回路204からの情報を 利用して、どのタスクが実行されるのか、ひいてはこのタスクがどのクロック周 波数を必要とするのかを判定する。コントローラは、特定のタスクについて適正 なクロック周波数を判定するためにルックアップ・テーブルを利用できる。従っ て、クロック制御信号Cctlは、デジタル回路204によって実行されるタス クについてのクロック周波数を表し、クロック周波数発生器6は、このクロック 制御信号Cctlに応じてクロック周波数Frefを有するクロック信号を発生 する。クロック周波数発生器6は、周知のクロック分周器によって構築できる。 周波数コントローラ10の動作は、用途に対する依存度が高い。例えば、デジ タル・セルラ・ハンドセットでは、周波数コントローラ10は、デジタル回路2 04を構成するプロセッサ・コアの状態にのみに依存して、クロック制御信号C ctlを生成してもよい。一般に、プロセッサ・コアは6つの状態、IDLE, FR,HR,EFR,DA TA,LPを有する。ルックアップ・テーブルは、6つの状態に応じてクロック 制御信号Cctlを与える。 クロック制御信号Cctlは、クロック周波数発生器が発生できるクロック周 波数に対応しなければならない。 クロック信号の周波数が特定のクロック周波数に調整されると、調整済み周波 数におけるクロック信号CLKは電圧レベル・コントローラ212およびデジタ ル回路204に結合される。電圧レベル・コントローラ212は、この調整済み クロック信号CLKを利用して、調整済みクロック信号CLKにクロックされる ときのデジタル回路204のスピードまたは伝播遅延を判定し、また調整済みク ロックCLKおよび判定されたスピードに応じて供給電圧のレべルを調整する。 電圧発生器208によって発生される調整済み供給電圧Vddは、デジタル回路 204のすべての構成要素に結合される。 デジタル回路204のスピードは、チップ単位のばらつきを生じさせる温度, 経時劣化,製造プロセスなどのパラメータや、プロセス技術自体によって影響を 受ける。デジタル回路204のスピードは、デジタル回路204における信号経 路上の信号の伝播遅延を調べることによって判定できる。伝播遅延は、第1図な いし第3図および第1回路200を参照して説明した方法以外の方法によって監 視できる。 第6図は、本発明の第2の態様の第2実施例による第2 システム400を示す。第2システム400は、上記の第2回路300を利用し て、デジタル回路404におけるスピードまたは伝播遅延を判定する。制御ユニ ット304および比較器316(第6図では図示せず)は、好ましくはコア処理 ユニット402の一部である。代わりに、比較器316および推定器307がデ ジタル回路404の一部でもよい。 推定モード中に、制御ユニット305は入力信号Sをデジタル回路404の経 路に与え、電圧制御信号Vctlを電圧発生器308に与え、この電圧発生器3 08は上記のように供給電圧Vddをデジタル回路404に与える。電圧発生器 308は、例えば、モトローラ社から供給されるMC33560などの任意の既 知のDC/DC電圧コンバータによって構築できる。 コア処理ユニット402は、デジタル回路404によって実行されるタスクに 関する情報を受けるための第1入力と、推定モード中にクリティカル経路の出力 において信号Soutを受けるための第2入力と、クロック制御信号Cctlを クロック周波数発生器406に与えるための出力とを有する。クロック周波数発 生器406は、デジタル回路404に結合される出力にてクロック信号CLKを 発生する。クロック周波数発生器406は、周知のクロック分周器によって構築 してもよい。 第2システム400は次のように動作する。 クロック信号の周波数は、デジタル回路400によって実行されるタスクに応 じて調整される。コア処理ユニット402は、デジタル回路404からの情報を 利用して、どのタスクが実行されるのか、ひいてはこのタスクがどのクロック周 波数を必要とするのかを判定する。この情報から、このタスクについてクリティ カルな経路が判定できる。コア処理ユニット402は、特定のタスクについて適 正なクロック周波数を判定するためにルックアップ・テーブルを利用できる。従 って、クロック制御信号Cctlは、デジタル回路404によって実行されるタ スクについてクロック周波数yFrefを表し、クロック周波数発生器406は 、このクロック周波数Frefを有するクロック信号を、タスクについて判定さ れたクリティカル経路を含め、デジタル回路404に与える。 クロック信号の周波数が特定のクロック周波数に調整された後、またクリティ カル経路が変化していない場合、この特定のクロック周波数についての最適供給 電圧Vddは判定済み推定曲線から判定できる。クリティカル経路が変化した場 合、あるいは推定曲線が更新を必要とする場合、制御ユニット305は入力信号 Sを判定済みクリティカル経路に与え、推定器307は、予定信号Sxとクリテ ィカル経路の出力からの信号Soutとの間の比較に応じて推定曲線を判定する 。そして、最適供給電圧のレベル、ひいては適切な電圧制御信号Vcltは、特 定のクロック周波 数Frefを利用して推定曲線から判定される。 電圧発生器308は、電圧レベルが電圧制御信号Vctlに依存する供給電圧 Vddを発生する。電圧発生器308によって発生された調整済み供給電圧Vd dは、動作モード中にデジタル回路404のすべての構成要素に結合される。, 上記のデジタル回路は、例えば、デジタル・セルラ電話システムのプロセッサ ・コアや、クロックおよび供給電圧入力を結合する任意のデジタル回路であって もよい。デジタル回路は、一つの集積回路または複数の集積回路上で集積しても よい。 従って、第1システム2および第2システム400は、与えられたタスクにつ いて最適な周波数を有するクロック信号と、デジタル回路のクロック周波数およ びスピードに応じた最適なレベルを有する供給電圧とを選択できる。そのため、 このようなシステムは改善された電力消費を有する。第2システム400は、第 2回路300が上記の第1回路200に対して有する利点のように、第1システ ム2に対して同様な利点を有する。 上記の実施例では、その目的は、あるクロック周波数について判定済み伝播遅 延に応じてのみ供給電圧を変えることにより、あるいはタスクに応じてクロック 周波数を変えてから、クロック周波数とこのクロック周波数出の伝播遅延とに応 じて供給電圧を変えることにより、電力消費を最 適化することである。しかし、まず最初に供給電圧を特定のレベルに調整してか ら、次にこの供給電圧のレベルについて最適なクロック周波数を与えるようにク ロック周波数を判定済み伝播遅延に応じて調整することが望ましいことがある。 本発明のこの第3の態様は、特定の条件に応じて処理能力を最適化するために利 用できる。すなわち、伝播遅延と供給電圧の曲線の非線形的な特性のため(第1 図参照)、電力消費と処理能力との間で最適なトレードオフが得られるように、 供給電圧を特定のレベルに固定することが望ましいことがある。 本発明の第3の態様は、異なる供給電圧について最適なクロック周波数を推定 する手段を提供する。まず、所定のレベルを有する供給電圧はデジタル回路に供 給され、次にクロック周波数は、信号経路またはクリティカル経路の伝播遅延が 所定の期間に達したと判定されるようになるまで調整される。この時点で、クロ ック周波数は供給電圧にとって最適な期間である。一つの可能なトレードオフで は、最大供給電圧を供給してもよい。この場合、伝播遅延が所定の期間に達する と、クロック周波数はこの最大供給電圧および信号経路またはクリティカル経路 について最適な期間となり、デジタル回路は与えられた条件について最大のMi ps能力で動作する。もちろん、このような場合、電力消費は最適化されないが 、コンピュータ分野では、最適化された処理能力のほうが最適化された電力消費 よりも勝る ことがある。 第1回路200および第2回路300は、供給電圧がまず最初に選択されたレ ベルに設定されてから、伝播遅延が所定のレベルに達するまでクロック周波数が 調整されるように修正できる。第1回路200は、第2回路300のように、供 給電圧Vddのレベルを判定し、伝播遅延と供給電圧の曲線の値を格納する追加 ブロック(図示せず)を必要とする。次に、回路200,300の両方について 、曲線の線形部分を推定し、この推定から適切なクロックが判定され、ついでデ ジタル回路に印加される。 従って、本発明の第3の態様は、温度,経時劣化,製造プロセスなどの与えら れたフィールド条件について理想的なクロック周波数を判定できる。これは、コ ンピュータ分野において明確な利点を提供する。
【手続補正書】特許法第184条の8第1項 【提出日】平成10年3月2日(1998.3.2) 【補正内容】 請求の範囲 1.デジタル回路において供給電圧をダイナミックに調整する方法であって: デジタル回路における信号経路上の信号の伝播遅延を判定する段階において、 前記信号はクロック信号によって前記信号経路に沿ってクロックされ、前記判定 段階は: 前記信号経路と実質的に同一の要素から成り、かつ入力および出力を有する、 前記信号経路に対する重複経路を提供する段階; 前記重複経路の前記入力に前記クロック信号を引加する段階;および 前記重複経路の出力における前記クロック信号の位相を前記重複経路の入力に おける前記クロック信号の位相と比較し、前記判定済み前記伝播遅延を表わす位 相誤差信号を導出する段階から成り; 前記位相誤差信号がゼロとなり、前記伝播遅延が所定の期間に達したと判定さ れるまで、前記位相誤差信号に応じて供給電圧のレベルを調整する段階であって 、前記供給電圧は、前記判定済み伝播遅延が前記所定の期間に達すると第1レベ ルを有する、段階;および 前記第1レベルを有する供給電圧を前記デジタル回路の構成要素に与える段階 ; によって構成されることを特徴とする方法。 2.前記デジタル回路は、クロック周期を有するクロック信号によってクロック され、前記所定の期間は、前記クロック信号のクロック周期に実質的に等しいか 、あるいはそれ以下であることを特徴とする請求項1記載の方法。 3.前記与える段階は、前記重複経路で所定の遅延を追加する段階をさらに含ん で構成されることを特徴とする請求項1記載の方法。 4.デジタル回路において供給電圧をダイナミックに調整する方法であっ て、前記デジタル回路における信号経路上の信号の伝播遅延が前記供給電圧に依 存する、方法であって: (a)前記デジタル回路の所定の信号経路について、所定のレベルの供給電圧 および対応する伝播遅延の第1シーケンスを格納する段階; (b)前記デジタル回路によって達成されるべきタスクに応じて前記デジタル 回路中の信号経路を選択する段階; (c)前記格納済み第1シーケンスの所定のレベルのうちの一つを有する供給 電圧を発生し、前記デジタル回路の前記選択された信号経路に与える段階; (d)前記選択された信号経路上の入力信号の伝播遅延を測定し、前記伝播遅 延がいつ所定の期間に達するのかを判定する段階であって、前記測定し、判定す る段階は: 前記選択された信号経路の入力にて入力信号を与える段階であって、前記人 力信号は、クロック信号によって前記信号経路の出力にクロックされる、段階と 、 前記クロック信号の所定のクロック・サイクル数の後の前記選択された信号 経路の出力における信号と、予定信号とを比較する段階と、 前記出力における信号が前記予定信号と一致しない場合に、誤差信号を生成 し、前記出力における信号が前記予定信号と一致する場合に、有効信号を生成す る段階と、 前記供給電圧のレベルを所定の量だけ前記一つの所定のレベルから調整する 段階と、 前記調整済み供給電圧を前記選択された信号経路に印加する段階と、前記有 効信号が生成されている限り前記与える段階,比較する段階,生成する段階,調 整する段階および印加する段階を繰り返す段階と、 前記誤差信号が生成される前に前記伝播遅延が前記所定の期間に達したことを判 定する段階であって、前記伝播遅延が前記所定の期間に達する場合前記調整され た供給電圧は第1レベルを有する、前記段階と、 によって構成され; (e)前記調整済み供給電圧の第1レベルをその対応する判定済み伝播期間と ともに格納する段階; (f)前記選択された信号経路について前記調整済み供給電圧のレベルおよび 対応する判定済み伝播遅延の第2シーケンスが格納されるように、所定のレベル の前記格納済み第1シーケンスのうち各以降の所定のレベルについて、段階(c ),(d)および(e)を繰り返す段階;および (g)前記第2シーケンスから所望の伝播遅延について調整済み供給電圧のレ ベルを判定し、前記判定済みレベルにて前記調整済み供給電圧を前記デジタル回 路の構成要素に印加する段階; によって構成されることを特徴とする方法。 5.前記所定の経路は、前記デジタル回路の悪い方の場合の経路であることを特 徴とする請求項4記載の方法。 6.前記デジタル回路によって実行されるタスクに応じて前記選択された経路を 選択する段階; をさらに含んで構成されることを特徴とする請求項4または5 記載の方法。 7.マージンを有する供給電圧を与えるため、所定のマージンを前記調整済み供 給電圧のレベルに追加する段階;および 前記マージンを有する供給電圧を前記デジタル回路の構成要素に印加する段階 ; をさらに含んで構成されることを特徴とする請求項4,5または6記載の方法 。 8.デジタル回路においてクロック信号の周波数および供給電圧をダイナミック に調整する方法であって: 前記デジタル回路によって実行されるタスクを判定する段階; 前記判定されたタスクに応じて前記クロック信号の周波数を調整し、前記調整 済みクロック信号を前記デジタル回路の構成要素に供給する段階; 前記デジタル回路における信号経路上の信号の伝播遅延を判定する段階であっ て、前記信号はクロック信号によって前記信号経路に沿ってクロックされ、前記 判定段階は: 前記信号経路と実質的に同一の要素から成り、かつ入力および出力を有する、前 記信号経路に対する重複経路を提供する段階; 前記重複経路の前記入力に前記クロック信号を引加する段階;および 前記重複経路の出力における前記クロック信号の位相を前記重複経路の入力にお ける前記クロック信号の位相と比較し、前記判定済み前記伝播遅延を表わす位相 誤差信号を導出する段階から成り; 前記位相誤差信号がゼロとなり、前記判定済み伝播遅延が所定の期間に達する まで、前記クロック信号の調整済み周波数と、前記位相誤差信号とに応じて、調 整済み供給電圧を与えるために前記供給電圧のレベルを調整する段階であって、 前記供給電圧は、前記判定済み伝播遅延が前記所定の期間に達するとき第1レベ ルを有する、段階;および 前記第1レベルを有する供給電圧を前記デジタル回路の構成要素に与える段階 ; によって構成されることを特徴とする方法。 9.前記第1レベルよりも大きい基準レベルを有する供給電圧を発生する段階を さらに含んで構成される請求項8記載の方法であって、前記調整する段階は、前 記伝播遅延が前記所定の期間に達したと判定されるまで、調整済み供給電圧を与 えるために供給電圧のレベルを前記基準レベルから段階的に低減する段階と、前 記調整済み供給電圧を前記デジタル回路の信号経路に与える段階とによって構成 されることを特徴とする請求項8記載の方法 10.前記調整済み周波数を有するクロック信号はクロック周期を有し、前記所 定の期間は、前記クロック信号のクロック周期に実質的に等しいか、あるいはそ れ以下であることを特徴とする請求項8または9記載の方法。 11.前記与える段階は、前記重複経路に所定の遅延を追加する段階をさらに含 んで構成されることを特徴とする請求項8,9または10記載の方法。 12.デジタル回路において供給電圧をダイナミックに調整する回路であって: 前記デジタル回路における信号経路上の信号の伝播遅延を判定する手段であっ て、前記信号はクロック信号によって前記信号経路に沿ってクロックされ、前記 判定手段は: 前記信号経路と実質的に同一の要素から成り、かつ入力および出力を有する重 複経路;および 前記信号経路に沿って前記信号をクロックするクロック信号を発生するための クロック信号発生器であって、前記クロック信号は前記重複経路の前記入力に結 合され;および 前記重複経路の出力における前記クロック信号の位相を前記重複経路の入力に おける前記クロック信号の位相と比較し、前記判定済み前記伝播遅延を表わす位 相誤差信号を導出する比較器から成り; 前記位相誤差信号がゼロとなり、前記伝播遅延が所定の期間に達したと判定さ れるまで、前記位相誤差信号に応じて前記供給電圧のレベルを調整する電圧発生 器であって、前記供給電圧は、前記判定済み伝播遅延が前記所定の期間に達した とき第1レベルを有し、また前記電圧発生器は、前記第1レベルを有する供給電 圧を前記デジタル回路の構成要素に与える、電圧発生器; によって構成されることを特徴とする回路。 13.前記デジタル回路は、クロック周期を有するクロック信号によってクロッ クされ、前記所定の期間は、前記クロック信号のクロック周期に実質的に等しい か、あるいはそれ以下であることを特徴とする請求項12記載の回路。 14.前記比較器と、前記重複経路の出力との間に結合された所定の遅延をさら に含んで構成されることを特徴とする請求項12または13記載の回路。 【手続補正書】特許法第184条の8第1項 【提出日】平成10年10月28日(1998.10.28) 【補正内容】 この方法は、実質的に常に何らかの処理を必要とする用途では利用できない。米 国特許第5,378,935号では、処理能力の必要に応じてクロック信号のク ロック周波数を調整することにより電力消費を最適化するための方法が開示され ている。これら両方の方法は、電力消費の線形的な低下を達成する。 同様に、一定のより低い供給電圧で動作することにより電力消費の低下を達成 することも知られている。電力消費はVdd2に依存するので、このような供給 電圧の低下は節電の向上を達成する。ただし、この結果、性能が劣化し、これは 一部の用途では望ましくない。 ある既知のシステムは、供給電圧がゼロになるパワーダウン・モードを有する ことによって電力消費を低減する。クロックが完全に停止する期間を有するシス テムと同様に、この方法も実質的に常に何らかの処理を必要とする用途では利用 できない。 日本特許出願公開番号JP08 136621号は、遅延回路を通過するパル スの遅延を所定の値と比較することにより、装置に供給される電圧を調整する電 源電圧供給装置開示する。 PCT国際公開番号WO90 13079号は、選択動作電圧を有する計算シ ステムを開示する。このシステムは、異なるバス速度に対しシステムにおける要 素の適切な動作を確保するために用いられる最小電圧を判定し、その判定電圧レ ベルをメモリに格納する。使用に際し、その電圧レベルは、バス速度に応じてメ モリの内容を使用して選択される。 欧州特許出願第EP−A−0722137号では、マイクロプロセッサの内部 クロック周波数を動的に変化するクロック制御システムを開示する。 米国特許番号4,691,124号は、自己補正する最小速度集積回路を開示 する。クロックは、集積回路の最も遅い信号経路に応じて生成される。 欧州特許出願第EP−A−0632360号では、実行されるタスクに応じて クロック信号の周波数および供給電圧を調整することにより電力消費を低減する ための方法が開示されている。すべての既知の方法と同様に、 本特許出願に開示される方法も、例えば、温度,経時劣化(aging)および回路製 造工程に起因する経時的および回路単位の回路パラメータの変化を考慮していな い。 従って、上記の欠点を克服する、デジタル回路において電力消費をダイナミッ クに制御するための改善された方法が必要とされる。 発明の概要 本発明の第1の態様に従って、デジタル回路において供給電圧をダイナミック に調整するための方法が提供され、前記方法は: デジタル回路における信号経路上の信号の伝播遅延を判定する段階において、前 記信号はクロック信号によって前記信号経路に沿ってクロックされ、前記判定段 階は: 前記信号経路と実質的に同一の要素から成り、かつ入力および出力を有する、前 記信号経路に対する重複経路を提供する段階; 前記重複経路の前記入力に前記クロック信号を引加する段階;および 前記重複経路の出力における前記クロック信号の位相を前記重複経路の入力にお ける前記クロック信号の位相と比較し、前記判定済み前記伝播遅延を表わす位相 誤差信号を導出する段階から成り; 前記位相誤差信号がゼロとなり、前記伝播遅延が所定の期間に達したと判定され るまで、前記位相誤差信号に応じて供給電圧のレベルを調整する段階であって、 前記供給電圧は、前記判定済み伝播遅延が前記所定の期間に達すると第1レベル を有する、段階;および 前記第1レベルを有する供給電圧を前記デジタル回路の構成要素に与える段階 ; によって構成される。 なお、好ましくは経路のゲートをクロックするクロック信号のクロック周期に 対応する所定の期間に前記伝搬遅延が達するまで、供給電圧のレベルを調整する ことにより、本発明は、この経路にとって最適な供給電圧を リアルタイムで判定し利用できる。従って、例えば温度,経時劣化および回路製 造工程に起因する経時的および回路単位の回路パラメータの変化を考慮に入れて 、電力消費をリアルタイムで最適化できる。 本発明の第1の態様の第2実施例に従って、請求の範囲の請求項4に記載され るように、デジタル回路において供給電圧をダイナミックに調整するための方法 が提供される。 本発明の第2の態様に従って、デジタル回路においてクロック信号の周波数お よび供給電圧をダイナミックに調整するための方法が提供され、前記方法は: 前記デジタル回路によって実行されるタスクを判定する段階; 前記判定されたタスクに応じてクロック信号の周波数を調整し、前記調整され たクロック信号を前記デジタル回路の構成要素に供給する段階; 前記デジタル回路における信号経路上の信号の伝播遅延を判定する段階であっ て、前記信号はクロック信号によって前記信号経路に沿ってクロックされ、前記 判定段階は: 前記信号経路と実質的に同一の要素から成り、かつ入力および出力を有する、前 記信号経路に対する重複経路を提供する段階; 前記重複経路の前記入力に前記クロック信号を引加する段階;および 前記重複経路の出力における前記クロック信号の位相を前記重複経路の入力にお ける前記クロック信号の位相と比較し、前記判定済み前記伝播遅延を表わす位相 誤差信号を導出する段階から成り; 前記位相誤差信号がゼロとなり、前記判定済み伝播遅延が所定の期間に達する まで、前記クロック信号の調整済み周波数と、前記位相誤差信号とに応じて、調 整済み供給電圧を与えるために前記供給電圧のレベルを調整する段階であって、 前記供給電圧は、前記判定済み伝播遅延が前記所定の期間に達するとき第1レベ ルを有する、段階;および 前記第1レベルを有する供給電圧を前記デジタル回路の構成要素に与える段階 ; によって構成される。 図面の簡単な説明 以下の添付の図面を参照して、本発明の異なる態様および実施例について、一 例としてのみ説明する。 第1図は、2つの異なる技術について、供給電圧(Vdd)の関数としての伝 搬遅延(tpd)のグラフである。 第2図は、デジタル回路において供給電圧のレベルをダイナミックに調整する 第1回路の概略ブロック図である。 第3図は、デジタル回路において供給電圧のレベルをダイナミックに調整する 第2回路の概略ブロック図である。 第4図は、第3図の第2回路を利用して、本発明に従って供給電圧を調整する 方法のフローチャートである。 第5図は、デジタル回路において供給電圧のレベルおよびクロック信号のクロ ック周波数をダイナミックに調整する第1システムの簡略ブロック図である。

Claims (1)

  1. 【特許請求の範囲】 1.デジタル回路において供給電圧をダイナミックに調整する方法であって: デジタル回路における信号経路上の信号の伝播遅延を判定する段階; 前記伝播遅延が所定の期間に達したと判定されるまで、前記判定済み伝播遅延 に応じて供給電圧のレベルを調整する段階であって、前記供給電圧は、前記判定 済み伝播遅延が前記所定の期間に達すると第1レベルを有する、段階;および 前記第1レベルを有する供給電圧を前記デジタル回路の構成要素に与える段階 ; によって構成されることを特徴とする方法。 2.前記第1レベルよりも大きい基準レベルを有する供給電圧を発生する段階 をさらに含んで構成される請求項1記載の方法であって、前記調整する段階は、 前記伝播遅延が前記所定の期間に達したと判定されるまで、調整済み供給電圧を 与えるために供給電圧のレベルを前記基準レベルから段階的に低減する段階と、 前記調整済み供給電圧を前記デジタル回路の信号経路に与える段階とによって構 成されることを特徴とする請求項1記載の方法。 3.前記信号は、クロック信号によって信号経路上でクロックされ、前記判定 する段階は: 前記信号経路に重複経路を設ける段階であって、前記重複経路は、前記信号経 路と実質的に同じ構成要素からなり、かつ入力および出力を有する、段階; 前記クロック信号を前記重複経路の入力に印加する段階; 前記重複経路の出力におけるクロック信号の位相と、前記重複経路の入力にお けるクロック信号の位相とを比較して、位相誤差信号を与える段階であって、前 記位相誤差信号は、前記伝播遅延が前記所定の期間に達するときゼロである、段 階; によって構成され、 前記調整する段階は、前記位相誤差信号に応じて供給電圧のレベルを調整する 段階からなることを特徴とする請求項1または2記載の方法。 4.前記デジタル回路は、クロック周期を有するクロック信号によってクロッ クされ、前記所定の期間は、前記クロック信号のクロック周期に実質的に等しい か、あるいはそれ以下であることを特徴とする請求項3記載の方法。 5.前記与える段階は、前記重複経路で所定の遅延を追加する段階をさらに含 んで構成されることを特徴とする請求項3記載の方法。 6.デジタル回路において供給電圧をダイナミックに調整する方法であって: 所定のレベルを有する供給電圧を発生し、デジタル回路の選択された信号経路 に与える段階; 前記選択された信号経路上の入力信号の伝播遅延を測定し、前記伝播遅延がい つ所定の期間に達するのかを判定する段階であって、前記測定し、判定する段階 は: 前記選択された信号経路の入力において入力信号を与える段階であって、 前記入力信号は、クロック信号によって前記信号経路の出力にクロックされる、 段階と、 前記クロック信号の所定のクロック・サイクル数の後の前記選択された信 号経路の出力における信号と、予定信号とを比較する段階と、 前記出力における信号が前記予定信号と一致しない場合に、誤差信号を生 成し、前記出力における信号が前記予定信号と一致する場合に、有効信号を生成 する段階と、 前記供給電圧のレベルを所定の量だけ前記所定のレベルから調整する段階 と、 前記調整済み供給電圧を前記選択された信号経路に印加する段階と、 前記伝播遅延が前記所定の期間に達したときに、前記誤差信号が生成され る直前まで、前記与える段階,比較する段階,生成する段階,調整する段階およ び印加する段階を繰り返す段階であって、前記調整済み供給電圧は、前記伝播遅 延が前記所定の期間に達したとき第1レベルを有する、段階と によって構成される測定し、判定する段階;および 前記第1レベルにて前記調整供給電圧を前記デジタル回 路の構成要素に印加する段階; によって構成されることを特徴とする方法。 7.デジタル回路において供給電圧をダイナミックに調整する方法であって、 前記デジタル回路における信号経路上の信号の伝播遅延が前記供給電圧に依存す る、方法であって: (a)前記デジタル回路の所定の信号経路について、所定のレベルの供給電圧 および対応する伝播遅延の第1シーケンスを格納する段階; (b)前記格納済み第1シーケンスの所定のレベルのうちの一つを有する供給 電圧を発生し、前記デジタル回路の選択された信号経路に与える段階; (c)前記選択された信号経路上の入力信号の伝播遅延を測定し、前記伝播遅 延がいつ所定の期間に達するのかを判定する段階であって、前記測定し、判定す る段階は: 前記選択された信号経路の入力にて入力信号を与える段階であって、前記 入力信号は、クロック信号によって前記信号経路の出力にクロックされる、段階 と、 前記クロック信号の所定のクロック・サイクル数の後の前記選択された信 号経路の出力における信号と、予定信号とを比較する段階と、 前記出力における信号が前記予定信号と一致しない場合に、誤差信号を生 成し、前記出力における信号が前記予定信号と一致する場合に、有効信号を生成 する段階と、 前記供給電圧のレベルを所定の量だけ前記一つの所定のレベルから調整す る段階と、 前記調整済み供給電圧を前記選択された信号経路に印加する段階と、 前記伝播遅延が前記所定の期間に達したときに、前記誤差信号が生成され る直前まで、前記与える段階,比較する段階,生成する段階,調整する段階およ び印加する段階を繰り返す段階であって、前記調整済み供給電圧は、前記伝播遅 延が前記所定の期間に達したとき第1レベルを有する、段階と によって構成される測定し、判定する段階; (d)前記調整済み供給電圧の第1レベルをその対応する判定済み伝播期間と ともに格納する段階; (e)前記選択された信号経路について前記調整済み供給電圧のレベルおよび 対応する判定済み伝播遅延の第2シーケンスが格納されるように、所定のレベル の前記格納済み第1シーケンスのうち各以降の所定のレベルについて、段階(b ),(c)および(d)を繰り返す段階;および (f)前記第2シーケンスから所望の伝播遅延について調整済み供給電圧のレ ベルを判定し、前記判定済みレベルにて前記調整済み供給電圧を前記デジタル回 路の構成要素に印加する段階; によって構成されることを特徴とする方法。 8.前記所定の経路は、前記デジタル回路の悪い方の場 合の経路であることを特徴とする請求項7記載の方法。 9.前記デジタル回路によって実行されるタスクに応じて前記選択された経路 を選択する段階; をさらに含んで構成されることを特徴とする請求項6,7または8記載の方法 。 10.前記選択された経路は、前記デジタル回路によって実行されるタスクに ついてクリティカル経路となるように選択されることを特徴とする請求項6,7 または8記載の方法。 11.マージンを有する供給電圧を与えるため、所定のマージンを前記調整済 み供給電圧のレベルに追加する段階;および 前記マージンを有する供給電圧を前記デジタル回路の構成要素に印加する段階 ; をさらに含んで構成されることを特徴とする請求項6,7,8,9または10 記載の方法。 12.デジタル回路においてクロック信号の周波数および供給電圧をダイナミ ックに調整する方法であって: 前記デジタル回路によって実行されるタスクを判定する段階; 前記判定されたタスクに応じて前記クロック信号の周波数を調整し、前記調整 済みクロック信号を前記デジタル回路の構成要素に供給する段階; 前記デジタル回路における信号経路上の信号の伝播遅延 を判定する段階; 前記判定済み伝播遅延が所定の期間に達するまで、前記クロック信号の調整済 み周波数と、前記判定済み伝播遅延とに応じて、調整済み供給電圧を与えるため に前記供給電圧のレベルを調整する段階であって、前記供給電圧は、前記判定済 み伝播遅延が前記所定の期間に達するとき第1レベルを有する、段階;および 前記第1レベルを有する供給電圧を前記デジタル回路の構成要素に与える段階 ; によって構成されることを特徴とする方法。 13.前記第1レベルよりも大きい基準レベルを有する供給電圧を発生する段 階をさらに含んで構成される請求項12記載の方法であって、前記調整する段階 は、前記伝播遅延が前記所定の期間に達したと判定されるまで、調整済み供給電 圧を与えるために供給電圧のレベルを前記基準レベルから段階的に低減する段階 と、前記調整済み供給電圧を前記デジタル回路の信号経路に与える段階とによっ て構成されることを特徴とする請求項12記載の方法。 14.前記信号は、クロック信号によって信号経路上でクロックされ、前記判 定する段階は: 前記信号経路に重複経路を設ける段階であって、前記重複経路は、前記信号経 路と実質的に同じ構成要素からなり、かつ入力および出力を有する、段階; 前記クロック信号を前記重複経路の入力に印加する段階; 前記重複経路の出力におけるクロック信号の位相と、前記重複経路の入力にお けるクロック信号の位相とを比較して、位相誤差信号を与える段階であって、前 記位相誤差信号は、前記伝播遅延が前記所定の期間に達するときゼロである、段 階; によって構成され、 前記調整する段階は、前記位相誤差信号に応じて供給電圧のレベルを調整する 段階からなることを特徴とする請求項12または13記載の方法。 15.前記調整済み周波数を有するクロック信号はクロック周期を有し、前記 所定の期間は、前記クロック信号のクロック周期に実質的に等しいか、あるいは それ以下であることを特徴とする請求項12,13または14記載の方法。 16.前記与える段階は、前記重複経路に所定の遅延を追加する段階をさらに 含んで構成されることを特徴とする請求項14記載の方法。 17.デジタル回路においてクロック信号の周波数および供給電圧をダイナミ ックに調整する方法であって: 前記デジタル回路によって実行されるタスクを判定する段階; 前記判定されたタスクに応じて前記クロック信号の周波数を調整し、前記調整 済みクロック信号を前記デジタル回路の構成要素に供給する段階; 所定のレベルを有する供給電圧を発生し、前記デジタル 回路の選択された経路の構成要素に与える段階; 前記選択された信号経路上の入力信号の伝播遅延を測定し、前記伝播遅延がい つ所定の期間に達するのかを判定する段階であって、前記測定し、判定する段階 は: 前記選択された信号経路の入力にて入力信号を与える段階であって、前記 入力信号は、前記調整済みクロック信号によって前記信号経路の出力にクロック される、段階と、 前記クロック信号の所定のクロック・サイクル数の後の前記選択された信 号経路の出力における信号と、予定信号とを比較する段階と、 前記出力における信号が前記予定信号と一致しない場合に、誤差信号を生 成し、前記出力における信号が前記予定信号と一致する場合に、有効信号を生成 する段階と、 前記供給電圧のレベルを所定の量だけ前記所定のレベルから調整する段階 と、 前記調整済み供給電圧を前記選択された信号経路に印加する段階と、 前記伝播遅延が前記所定の期間に達したとき、前記誤差信号が生成される 直前まで、前記与える段階,比較する段階,生成する段階,調整する段階および 印加する段階を繰り返す段階であって、前記調整済み供給電圧は、前記伝播遅延 が前記所定の期間に達したとき第1レベルを有する、段階と によって構成される測定し、判定する段階;および 前記調整済み供給電圧を前記第1レベルにて前記デジタル回路の構成要素に印 加する段階; によって構成されることを特徴とする方法。 18.前記デジタル回路によって実行されるタスクに応じて前記選択された経 路を選択する段階; をさらに含んで構成されることを特徴とする請求項17記載の方法。 19.前記選択された経路は、前記デジタル回路によって実行されるタスクに ついてクリティカル経路となるように選択されることを特徴とする請求項17記 載の方法。 20.マージンを有する供給電圧を与えるため、所定のマージンを前記調整済 み供給電圧のレベルに追加する段階;および 前記マージンを有する供給電圧を前記デジタル回路の構成要素に印加する段階 ; をさらに含んで構成されることを特徴とする請求項17,18または19記載 の方法。 21.デジタル回路においてクロック信号のクロック周波数をダイナミックに 調整する方法であって: 所定のレベルを有する供給電圧を発生し、前記デジタル回路の構成要素に与え る段階; 前記デジタル回路における信号経路上の信号の伝播遅延を判定する段階; 前記伝播遅延が所定の期間に達したと判定されるまで、前記判定済み伝播遅延 に応じて前記クロック信号のクロック周波数を調整する段階であって、前記調整 済みクロック周波数は、前記判定済み伝播遅延が前記所定の期間に達したとき第 1周波数を有する、段階;および 前記第1周波数を有するクロック信号を前記デジタル回路の構成要素に与える 段階; によって構成されることを特徴とする方法。 22.デジタル回路においてクロック信号のクロック周波数をダイナミックに 調整する方法であって、前記デジタル回路における信号経路上の信号の伝播遅延 が供給電圧に依存する、方法であって: (a)前記デジタル回路の所定の信号経路について、所定のレベルの供給電圧 および対応する伝播遅延の第1シーケンスを格納する段階; (b)前記格納済み第1シーケンスの所定のレベルのうちの一つを有する供給 電圧を発生し、前記デジタル回路の選択された信号経路に与える段階; (c)前記選択された信号経路上の入力信号の伝播遅延を測定し、前記伝播遅 延がいつ所定の期間に達するのかを判定する段階であって、前記測定し、判定す る段階は: 前記選択された信号経路の入力にて入力信号を与える段階であって、前記 入力信号は、クロック信号によって前記信号経路の出力にクロックされる、段階 と、 前記クロック信号の所定のクロック・サイクル数の後の前記選択された信 号経路の出力における信号と、予定信号とを比較する段階と、 前記出力における信号が前記予定信号と一致しない場合に、誤差信号を生 成し、前記出力における信号が前記予定信号と一致する場合に、有効信号を生成 する段階と、 前記供給電圧のレベルを所定の量だけ前記一つの所定のレベルから調整す る段階と、 前記調整済み供給電圧を前記選択された信号経路に印加する段階と、 前記伝播遅延が前記所定の期間に達したときに、前記誤差信号が生成され る直前まで、前記与える段階,比較する段階,生成する段階,調整する段階およ び印加する段階を繰り返す段階であって、前記調整済み供給電圧は、前記伝播遅 延が前記所定の期間に達したとき第1レベルを有する、段階と によって構成される測定し、判定する段階; (d)前記調整済み供給電圧の第1レベルをその対応する判定済み伝播期間と ともに格納する段階; (e)前記選択された信号経路について前記調整済み供給電圧のレベルおよび 対応する判定済み伝播遅延の第2シーケンスが格納されるように、所定のレベル の前記格納済み第1シーケンスのうち各以降の所定のレベルについて、段階(b ),(c)および(d)を繰り返す段階; (f)前記第2シーケンスから前記供給電圧の選択されたレベルについて伝播 遅延を判定する段階;および (g)前記判定された伝播遅延に応じて前記クロック周波数を調整し、前記調 整済みクロック周波数を前記デジタル回路の構成要素に印加する段階; によって構成されることを特徴とする方法。 23.前記供給電圧を発生し、与える段階は、前記デジタル回路について最大 レベルを有する供給電圧を発生し、与える段階からなることを特徴とする請求項 22記載の方法。 24.デジタル回路においてクロック信号のクロック周波数をダイナミックに 調整する方法であって、前記デジタル回路における信号経路上の信号の伝播遅延 が供給電圧に依存する、方法であって: (a)前記デジタル回路の所定の信号経路について、所定のレベルの供給電圧 および対応する伝播遅延の第1シーケンスを格納する段階; (b)前記格納済み第1シーケンスの所定のレベルのうちの一つを有する供給 電圧を発生し、前記デジタル回路の選択された信号経路に与える段階; (c)前記選択された信号経路上の入力信号の伝播遅延を測定し、前記伝播遅 延がいつ所定の期間に達するのかを判定する段階であって、前記測定し、判定す る段階は: 前記選択された信号経路の入力にて入力信号を与え る段階であって、前記入力信号は、クロック信号によって前記信号経路の出力に クロックされる、段階と、 前記クロック信号の所定のクロック・サイクル数の後の前記選択された信 号経路の出力における信号と、予定信号とを比較する段階と、 前記出力における信号が前記予定信号と一致しない場合に、誤差信号を生 成し、前記出力における信号が前記予定信号と一致する場合に、有効信号を生成 する段階と、 前記供給電圧のレベルを所定の量だけ前記一つの所定のレベルから調整す る段階と、 前記調整済み供給電圧を前記選択された信号経路に印加する段階と、 前記伝播遅延が前記所定の期間に達したときに、前記誤差信号が生成され る直前まで、前記与える段階,比較する段階,生成する段階,調整する段階およ び印加する段階を繰り返す段階であって、前記調整済み供給電圧は、前記伝播遅 延が前記所定の期間に達したとき第1レベルを有する、段階と によって構成される測定し、判定する段階; (d)前記調整済み供給電圧の第1レベルをその対応する判定済み伝播期間と ともに格納する段階; (e)前記選択された信号経路について前記調整済み供給電圧のレベルおよび 対応する判定済み伝播遅延の第2シーケンスが格納されるように、所定のレベル の前記格納済 み第1シーケンスのうち各以降の所定のレベルについて、段階(b),(c)お よび(d)を繰り返す段階; (f)前記第2シーケンスから前記供給電圧の選択されたレベルについて伝播 遅延を判定する段階;および (g)前記判定された伝播遅延に応じて前記クロック周波数を調整し、前記調 整済みクロック周波数を前記デジタル回路の構成要素に印加する段階; によって構成されることを特徴とする方法。 25.デジタル回路において供給電圧をダイナミックに調整する回路であって : 前記デジタル回路における信号経路上の信号の伝播遅延を判定する手段; 前記伝播遅延が所定の期間に達したと判定されるまで、前記判定済み伝播遅延 に応じて前記供給電圧のレベルを調整する電圧発生器であって、前記供給電圧は 、前記判定済み伝播遅延が前記所定の期間に達したとき第1レベルを有し、また 前記電圧発生器は、前記第1レベルを有する供給電圧を前記デジタル回路の構成 要素に与える、電圧発生器; によって構成されることを特徴とする回路。 26.前記信号はクロック信号によって信号経路上でクロックされ、前記判定 する手段は: 前記信号経路と実質的に同じ構成要素を有し、かつ入力および出力を有する重 複経路; 前記信号経路上で信号をクロックするためのクロック信 号を発生するクロック周波数発生器であって、前記クロック信号は前記重複経路 の入力に結合される、クロック周波数発生器; 前記重複経路の出力におけるクロック信号の位相と、前記重複経路の入力にお けるクロック信号の位相とを比較し、位相誤差信号を与える比較器であって、前 記位相誤差信号は、前記伝播遅延が前記所定の期間に達したときゼロであり、前 記調整する手段は、前記位相誤差信号に応じて前記供給電圧のレベルを調整する 、比較器; によって構成されることを特徴とする請求項25記載の回路。 27.前記デジタル回路は、クロック周期を有するクロック信号によってクロ ックされ、前記所定の期間は、前記クロツク信号のクロック周期に実質的に等し いか、あるいはそれ以下であることを特徴とする請求項25記載の回路。 28.前記比較器と、前記重複経路の出力との間に結合された所定の遅延をさ らに含んで構成されることを特徴とする請求項25,26または27記載の回路 。
JP50762898A 1996-08-07 1997-08-06 デジタル回路において供給電圧および/またはクロック信号の周波数をダイナミックに調整するための方法および回路 Expired - Fee Related JP4024306B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR9609952A FR2752312B1 (fr) 1996-08-07 1996-08-07 Procede et circuit permettant d'ajuster dynamiquement la tension d'alimentation et, ou bien, la frequence du signal d'horloge dans un circuit numerique
FR96/09952 1996-08-07
PCT/EP1997/004286 WO1998006022A2 (en) 1996-08-07 1997-08-06 Methods and circuits for dynamically adjusting a supply voltage and/or a frequency of a clock signal in a digital circuit

Publications (2)

Publication Number Publication Date
JP2001505676A true JP2001505676A (ja) 2001-04-24
JP4024306B2 JP4024306B2 (ja) 2007-12-19

Family

ID=9494887

Family Applications (1)

Application Number Title Priority Date Filing Date
JP50762898A Expired - Fee Related JP4024306B2 (ja) 1996-08-07 1997-08-06 デジタル回路において供給電圧および/またはクロック信号の周波数をダイナミックに調整するための方法および回路

Country Status (6)

Country Link
US (2) US6157247A (ja)
EP (1) EP0976021B1 (ja)
JP (1) JP4024306B2 (ja)
DE (1) DE69709911T2 (ja)
FR (1) FR2752312B1 (ja)
WO (1) WO1998006022A2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005538607A (ja) * 2002-09-06 2005-12-15 ナショナル セミコンダクタ コーポレイション ディジタル処理装置内の電源電圧を適応的に調整するための自己較正を行う方法と装置
JP2006502466A (ja) * 2002-01-19 2006-01-19 ナショナル セミコンダクタ コーポレイション デジタル処理コンポーネント内で使用する適応電圧スケーリングクロック発生器およびその操作方法
JP2008503835A (ja) * 2004-06-22 2008-02-07 インテル コーポレイション 低電力デバイスの待機電力制御
JP2008520014A (ja) * 2004-11-10 2008-06-12 フリースケール セミコンダクター インコーポレイテッド 複数の基準回路を用いて電圧及び周波数を制御する装置及び方法
JP2008524744A (ja) * 2004-12-21 2008-07-10 パケット ディジタル オンデマンド電力管理の方法及び機器
JP2010160801A (ja) * 2004-05-05 2010-07-22 Qualcomm Inc ダイナミック電圧スケーリングシステム
JP2010244504A (ja) * 2008-08-15 2010-10-28 Sony Computer Entertainment Inc Lsiの電力低減のための適応的クロック位相制御方法および装置
JP2010534890A (ja) * 2007-07-26 2010-11-11 クゥアルコム・インコーポレイテッド 命令利用に基づいた、適応電圧スケーリングのための方法と装置
JP2011227937A (ja) * 2011-08-16 2011-11-10 Fujitsu Ltd 電源電圧調整装置、記録媒体および電源電圧調整方法
US8063509B2 (en) 2007-03-20 2011-11-22 Fujitsu Limited Power supply voltage adjusting apparatus, recording medium, and power supply voltage adjusting method
JP2012532383A (ja) * 2009-07-02 2012-12-13 クアルコム,インコーポレイテッド 温度補償適応型電圧スケーラ(avs)システムおよび方法
JP2013054691A (ja) * 2011-09-06 2013-03-21 Nec Computertechno Ltd 電子機器の保守装置、方法、及びプログラム
CN105009456A (zh) * 2013-03-01 2015-10-28 高通股份有限公司 用于逐次逼近寄存器模数转换器中供电电压和/或比较器共模电压的闭环控制的方法和装置

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3586369B2 (ja) 1998-03-20 2004-11-10 インターナショナル・ビジネス・マシーンズ・コーポレーション ビデオ・クロックの周波数を下げる方法及びコンピュータ
US6667651B2 (en) 1999-12-22 2003-12-23 Sony Corporation Voltage supply circuit and control method of the same
JP4783976B2 (ja) * 1999-12-22 2011-09-28 ソニー株式会社 電圧供給回路及びその制御方法
JP2002100967A (ja) * 2000-03-17 2002-04-05 Sony Corp 電源電圧制御装置、半導体装置およびその駆動方法
US6772356B1 (en) 2000-04-05 2004-08-03 Advanced Micro Devices, Inc. System for specifying core voltage for a microprocessor by selectively outputting one of a first, fixed and a second, variable voltage control settings from the microprocessor
US7050478B1 (en) * 2000-08-03 2006-05-23 International Business Machines Corporation Apparatus and method for synchronizing clock modulation with power supply modulation in a spread spectrum clock system
GB2381117A (en) 2001-07-27 2003-04-23 Brainwave Middle East F2 Llc Method for generating an audio programme and for reducing stress
US7061292B2 (en) * 2001-11-09 2006-06-13 The Regents Of The University Of Colorado Adaptive voltage regulator for powered digital devices
US6985025B1 (en) * 2002-01-19 2006-01-10 National Semiconductor Corporation System for adjusting a power supply level of a digital processing component and method of operating the same
EP1271168B1 (en) * 2002-04-06 2004-12-15 Agilent Technologies, Inc. (a Delaware corporation) Electrical system for testing the channels of a communication system
US7882369B1 (en) 2002-11-14 2011-02-01 Nvidia Corporation Processor performance adjustment system and method
US7886164B1 (en) 2002-11-14 2011-02-08 Nvidia Corporation Processor temperature adjustment system and method
US7849332B1 (en) * 2002-11-14 2010-12-07 Nvidia Corporation Processor voltage adjustment system and method
US8086884B2 (en) 2002-12-16 2011-12-27 Hewlett-Packard Development Company, L.P. System and method for implementing an integrated circuit having dynamically variable power limit
US7149903B1 (en) * 2002-12-18 2006-12-12 National Semiconductor Corporation System and method for signal delay in an adaptive voltage scaling slack detector
US6794949B1 (en) 2003-03-28 2004-09-21 Freescale Semiconductor, Inc. Frequency generating device and method thereof
US6768333B1 (en) * 2003-05-13 2004-07-27 Atmel Corporation Test circuit for input-to-output speed measurement
US20050007154A1 (en) * 2003-07-07 2005-01-13 Patella Benjamin J. System and method for evaluating the speed of a circuit
US7148755B2 (en) * 2003-08-26 2006-12-12 Hewlett-Packard Development Company, L.P. System and method to adjust voltage
GB2408116B (en) * 2003-11-14 2006-09-20 Advanced Risc Mach Ltd Operating voltage determination for an integrated circuit
US7030661B1 (en) 2003-12-08 2006-04-18 National Semiconductor Corporation Power supply system and method that provides a low-cost approach to voltage scaling
US7479753B1 (en) 2004-02-24 2009-01-20 Nvidia Corporation Fan speed controller
EP1607835A1 (en) * 2004-06-15 2005-12-21 Koninklijke Philips Electronics N.V. Closed-loop control for performance tuning
JP4271623B2 (ja) * 2004-06-17 2009-06-03 富士通株式会社 クロック調整装置および方法
US7205805B1 (en) 2004-11-02 2007-04-17 Western Digital Technologies, Inc. Adjusting power consumption of digital circuitry relative to critical path circuit having the largest propagation delay error
US7129763B1 (en) 2004-11-08 2006-10-31 Western Digital Technologies, Inc. Adjusting power consumption of digital circuitry by generating frequency error representing error in propagation delay
US7434073B2 (en) 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US20060136764A1 (en) * 2004-12-22 2006-06-22 Munguia Peter R Methods and apparatus to manage power consumption of a system
JP4603903B2 (ja) * 2005-02-17 2010-12-22 株式会社アドバンテスト 負荷変動補償回路、電子デバイス、試験装置、及びタイミング発生回路
US7301378B2 (en) 2005-02-22 2007-11-27 Freescale Semiconductor, Inc. Circuit and method for determining optimal power and frequency metrics of an integrated circuit
US7167035B2 (en) 2005-02-22 2007-01-23 Freescale Semiconductor, Inc. Delay circuitry and method therefor
US7164998B2 (en) 2005-02-22 2007-01-16 Freescale Semiconductor, Inc. Method for determining programmable coefficients to replicate frequency and supply voltage correlation in an integrated circuit
US7337340B2 (en) * 2005-03-17 2008-02-26 International Business Machines Corporation System and method of compensating for the effects of on-chip processing variation on an integrated circuit
US7581120B1 (en) 2005-05-23 2009-08-25 National Semiconductor Corporation System and method for providing multi-point calibration of an adaptive voltage scaling system
US7158443B2 (en) * 2005-06-01 2007-01-02 Micron Technology, Inc. Delay-lock loop and method adapting itself to operate over a wide frequency range
US7276925B2 (en) * 2005-07-01 2007-10-02 P.A. Semi, Inc. Operating an integrated circuit at a minimum supply voltage
US7652494B2 (en) 2005-07-01 2010-01-26 Apple Inc. Operating an integrated circuit at a minimum supply voltage
US7571333B1 (en) * 2005-09-30 2009-08-04 Emc Corporation Method and system for automatically setting the operating voltage and speed of diplex communication in a data storage system
US7486060B1 (en) 2006-03-30 2009-02-03 Western Digital Technologies, Inc. Switching voltage regulator comprising a cycle comparator for dynamic voltage scaling
US7551383B1 (en) 2006-06-28 2009-06-23 Western Digital Technologies, Inc. Adjusting voltage delivered to disk drive circuitry based on a selected zone
DE112007001946T5 (de) * 2006-08-16 2009-07-02 Advantest Corp. Lastschwankung-Kompensationsschaltung, elektronische Vorrichtung, Prüfvorrichtung, Taktgeneratorschaltung und Lastschwankungs-Kompensationsverfahren
US7330019B1 (en) 2006-10-31 2008-02-12 Western Digital Technologies, Inc. Adjusting on-time for a discontinuous switching voltage regulator
US9134782B2 (en) 2007-05-07 2015-09-15 Nvidia Corporation Maintaining optimum voltage supply to match performance of an integrated circuit
US8050781B2 (en) * 2007-06-29 2011-11-01 Emulex Design & Manufacturing Corporation Systems and methods for ASIC power consumption reduction
US7733189B1 (en) 2007-09-14 2010-06-08 Western Digital Technologies, Inc. Oscillator comprising foldover detection
US7921312B1 (en) 2007-09-14 2011-04-05 National Semiconductor Corporation System and method for providing adaptive voltage scaling with multiple clock domains inside a single voltage domain
TW200928654A (en) * 2007-12-31 2009-07-01 Powerchip Semiconductor Corp Voltage adjusting circuits
US8370663B2 (en) 2008-02-11 2013-02-05 Nvidia Corporation Power management with dynamic frequency adjustments
US8085020B1 (en) * 2008-06-13 2011-12-27 Western Digital Technologies, Inc. Switching voltage regulator employing dynamic voltage scaling with hysteretic comparator
KR101418017B1 (ko) * 2008-06-27 2014-07-09 삼성전자주식회사 파워 온 리셋 신호를 이용한 셀프 마스킹 기능을 갖는 액정패널 구동 장치 및 방법
US7915910B2 (en) 2009-01-28 2011-03-29 Apple Inc. Dynamic voltage and frequency management
JP5524568B2 (ja) * 2009-10-23 2014-06-18 ルネサスエレクトロニクス株式会社 半導体装置、及び半導体装置の設計方法
US9093846B2 (en) 2009-12-04 2015-07-28 National Semiconductor Corporation Methodology for controlling a switching regulator based on hardware performance monitoring
TW201122753A (en) * 2009-12-29 2011-07-01 Ind Tech Res Inst Voltage scaling systems
US9256265B2 (en) 2009-12-30 2016-02-09 Nvidia Corporation Method and system for artificially and dynamically limiting the framerate of a graphics processing unit
US9830889B2 (en) 2009-12-31 2017-11-28 Nvidia Corporation Methods and system for artifically and dynamically limiting the display resolution of an application
US8258861B2 (en) * 2010-01-08 2012-09-04 Analog Devices, Inc. Systems and methods for minimizing power consumption
US8259741B2 (en) * 2010-08-27 2012-09-04 Throughputer, Inc. Content adaptive data path logic processing
US8572426B2 (en) 2010-05-27 2013-10-29 National Semiconductor Corporation Hardware performance monitor (HPM) with extended resolution for adaptive voltage scaling (AVS) systems
US8839006B2 (en) 2010-05-28 2014-09-16 Nvidia Corporation Power consumption reduction systems and methods
US8937404B1 (en) 2010-08-23 2015-01-20 Western Digital Technologies, Inc. Data storage device comprising dual mode independent/parallel voltage regulators
US8324974B1 (en) 2010-12-17 2012-12-04 Western Digital Technologies, Inc. Regulating power consumption of digital circuitry using a multi-layer ring oscillator
US20130117168A1 (en) 2011-11-04 2013-05-09 Mark Henrik Sandstrom Maximizing Throughput of Multi-user Parallel Data Processing Systems
US8789065B2 (en) 2012-06-08 2014-07-22 Throughputer, Inc. System and method for input data load adaptive parallel processing
US8390367B1 (en) * 2011-02-15 2013-03-05 Western Digital Technologies, Inc. Ensuring minimum gate speed during startup of gate speed regulator
US9448847B2 (en) 2011-07-15 2016-09-20 Throughputer, Inc. Concurrent program execution optimization
US20130311792A1 (en) * 2012-05-18 2013-11-21 Prahallada PONNATHOTA Voltage scaling architecture on system-on-chip platform
US9223327B1 (en) * 2012-11-26 2015-12-29 Marvell International Ltd. Universal adaptive voltage scaling system
US9939883B2 (en) 2012-12-27 2018-04-10 Nvidia Corporation Supply-voltage control for device power management
US9766649B2 (en) * 2013-07-22 2017-09-19 Nvidia Corporation Closed loop dynamic voltage and frequency scaling
US9602083B2 (en) 2013-07-03 2017-03-21 Nvidia Corporation Clock generation circuit that tracks critical path across process, voltage and temperature variation
US10103719B2 (en) 2013-07-22 2018-10-16 Nvidia Corporation Integrated voltage regulator with in-built process, temperature and aging compensation
US10466763B2 (en) 2013-12-02 2019-11-05 Nvidia Corporation Dynamic voltage-frequency scaling to limit power transients
FR3017467A1 (fr) * 2014-02-07 2015-08-14 Commissariat Energie Atomique Procede de caracterisation du fonctionnement d’un circuit electronique numerique et circuit electronique numerique
KR20210028794A (ko) 2019-09-04 2021-03-15 삼성전자주식회사 반도체 장치 및 반도체 장치의 자원 사용 예측 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4691124A (en) * 1986-05-16 1987-09-01 Motorola, Inc. Self-compensating, maximum speed integrated circuit
JPS639315A (ja) 1986-06-30 1988-01-16 Nec Corp デイジタル・アナログ変換回路の直線性検出回路
US4922141A (en) * 1986-10-07 1990-05-01 Western Digital Corporation Phase-locked loop delay line
US5086501A (en) * 1989-04-17 1992-02-04 Motorola, Inc. Computing system with selective operating voltage and bus speed
JPH02296410A (ja) * 1989-05-11 1990-12-07 Mitsubishi Electric Corp 遅延回路
TW226057B (ja) * 1991-12-23 1994-07-01 Philips Nv
EP0632360A1 (en) * 1993-06-29 1995-01-04 Xerox Corporation Reducing computer power consumption by dynamic voltage and frequency variation
JPH08136621A (ja) * 1994-11-11 1996-05-31 Oki Electric Ind Co Ltd 電源電圧供給装置
US5572719A (en) * 1994-11-22 1996-11-05 Advanced Micro Devices Clock control system for microprocessors including a delay sensing circuit
US5719514A (en) * 1995-03-31 1998-02-17 Ando Electric Co., Ltd. Delay circuit compensating for variations in delay time
JP3694998B2 (ja) * 1996-08-05 2005-09-14 ソニー株式会社 電圧発生回路
US6229364B1 (en) * 1999-03-23 2001-05-08 Infineon Technologies North America Corp. Frequency range trimming for a delay line

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006502466A (ja) * 2002-01-19 2006-01-19 ナショナル セミコンダクタ コーポレイション デジタル処理コンポーネント内で使用する適応電圧スケーリングクロック発生器およびその操作方法
JP2010040053A (ja) * 2002-01-19 2010-02-18 Natl Semiconductor Corp <Ns> デジタル処理コンポーネント内で使用する適応電圧スケーリングクロック発生器およびその操作方法
JP2005538607A (ja) * 2002-09-06 2005-12-15 ナショナル セミコンダクタ コーポレイション ディジタル処理装置内の電源電圧を適応的に調整するための自己較正を行う方法と装置
JP2010160801A (ja) * 2004-05-05 2010-07-22 Qualcomm Inc ダイナミック電圧スケーリングシステム
JP2008503835A (ja) * 2004-06-22 2008-02-07 インテル コーポレイション 低電力デバイスの待機電力制御
JP2008520014A (ja) * 2004-11-10 2008-06-12 フリースケール セミコンダクター インコーポレイテッド 複数の基準回路を用いて電圧及び周波数を制御する装置及び方法
JP2008524744A (ja) * 2004-12-21 2008-07-10 パケット ディジタル オンデマンド電力管理の方法及び機器
US8063509B2 (en) 2007-03-20 2011-11-22 Fujitsu Limited Power supply voltage adjusting apparatus, recording medium, and power supply voltage adjusting method
JP2010534890A (ja) * 2007-07-26 2010-11-11 クゥアルコム・インコーポレイテッド 命令利用に基づいた、適応電圧スケーリングのための方法と装置
US8725488B2 (en) 2007-07-26 2014-05-13 Qualcomm Incorporated Method and apparatus for adaptive voltage scaling based on instruction usage
JP2010244504A (ja) * 2008-08-15 2010-10-28 Sony Computer Entertainment Inc Lsiの電力低減のための適応的クロック位相制御方法および装置
JP2012532383A (ja) * 2009-07-02 2012-12-13 クアルコム,インコーポレイテッド 温度補償適応型電圧スケーラ(avs)システムおよび方法
US8661274B2 (en) 2009-07-02 2014-02-25 Qualcomm Incorporated Temperature compensating adaptive voltage scalers (AVSs), systems, and methods
JP2011227937A (ja) * 2011-08-16 2011-11-10 Fujitsu Ltd 電源電圧調整装置、記録媒体および電源電圧調整方法
JP2013054691A (ja) * 2011-09-06 2013-03-21 Nec Computertechno Ltd 電子機器の保守装置、方法、及びプログラム
US9817743B2 (en) 2011-09-06 2017-11-14 Nec Platforms, Ltd. Maintenance apparatus of electronic device, maintenance method of electronic device, and non-transitory computer readable medium storing program
CN105009456A (zh) * 2013-03-01 2015-10-28 高通股份有限公司 用于逐次逼近寄存器模数转换器中供电电压和/或比较器共模电压的闭环控制的方法和装置
JP2016508704A (ja) * 2013-03-01 2016-03-22 クゥアルコム・インコーポレイテッドQualcomm Incorporated 逐次比較レジスタ型アナログ−デジタル変換器における電源及び/又は比較器コモンモード電圧の閉ループ制御のための方法及び装置

Also Published As

Publication number Publication date
EP0976021B1 (en) 2002-01-02
WO1998006022A3 (en) 1998-08-20
FR2752312B1 (fr) 1998-10-30
DE69709911D1 (de) 2002-02-28
US6157247A (en) 2000-12-05
DE69709911T2 (de) 2002-06-20
JP4024306B2 (ja) 2007-12-19
EP0976021A2 (en) 2000-02-02
US6366157B1 (en) 2002-04-02
WO1998006022A2 (en) 1998-02-12
FR2752312A1 (fr) 1998-02-13

Similar Documents

Publication Publication Date Title
JP2001505676A (ja) デジタル回路において供給電圧および/またはクロック信号の周波数をダイナミックに調整するための方法および回路
JP4825291B2 (ja) デジタル処理コンポーネント内で使用する適応電圧スケーリングクロック発生器およびその操作方法
US7061292B2 (en) Adaptive voltage regulator for powered digital devices
US6548991B1 (en) Adaptive voltage scaling power supply for use in a digital processing component and method of operating the same
US7117378B1 (en) Adaptive voltage scaling digital processing component and method of operating the same
US6535735B2 (en) Critical path adaptive power control
US8726057B2 (en) Power management of components having clock processing circuits
USRE47832E1 (en) Clock generation circuit with fast-startup standby mode
USRE42293E1 (en) System and method for optimizing clock speed generation in a computer
US7498870B2 (en) Adaptive voltage control for performance and energy optimization
US20110260783A1 (en) Semiconductor device
US6223297B1 (en) Clock modifying method and information processing apparatus which gradually increase frequency of an external clock to be supplied to processing unit
US7439718B2 (en) Apparatus and method for high speed voltage regulation
JP4280640B2 (ja) ディジタル処理コンポーネントの給電レベルを調節するシステム及びこれを動作させる方法
US6828848B2 (en) Integrated circuit device capable of optimizing operating performance according to consumed power
US20070061090A1 (en) System and method for providing adaptive power supply to system on a chip
CN114265470A (zh) 时钟电路及为cpu提供时钟的方法
US6794949B1 (en) Frequency generating device and method thereof
JPH10320072A (ja) 消費電力制御回路
JPH08340669A (ja) スイッチングレギュレータ回路およびこれを実装した半導体集積回路装置
CN116400754A (zh) 电子装置及电子装置中控制温度的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040708

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20070613

A72 Notification of change in name of applicant

Free format text: JAPANESE INTERMEDIATE CODE: A721

Effective date: 20070613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070912

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071003

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101012

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111012

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121012

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131012

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees