JP5524568B2 - 半導体装置、及び半導体装置の設計方法 - Google Patents

半導体装置、及び半導体装置の設計方法 Download PDF

Info

Publication number
JP5524568B2
JP5524568B2 JP2009244943A JP2009244943A JP5524568B2 JP 5524568 B2 JP5524568 B2 JP 5524568B2 JP 2009244943 A JP2009244943 A JP 2009244943A JP 2009244943 A JP2009244943 A JP 2009244943A JP 5524568 B2 JP5524568 B2 JP 5524568B2
Authority
JP
Japan
Prior art keywords
delay
voltage
information
target
monitor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009244943A
Other languages
English (en)
Other versions
JP2011091277A (ja
Inventor
賢剛 堀越
敏幸 齋藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2009244943A priority Critical patent/JP5524568B2/ja
Priority to US12/926,001 priority patent/US8341576B2/en
Publication of JP2011091277A publication Critical patent/JP2011091277A/ja
Priority to US13/609,021 priority patent/US8635568B2/en
Application granted granted Critical
Publication of JP5524568B2 publication Critical patent/JP5524568B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Power Sources (AREA)

Description

本発明は、半導体装置、及び半導体装置の設計方法に関する。特に、本発明は、電圧制御機能を有する半導体装置、及びその半導体装置の設計方法に関する。
一般的に、半導体装置の製造段階において、トランジスタ等の素子の物理構造や電気特性は、設計値からばらつく。そのようなばらつきは、製造ばらつき(manufacturing variability)と呼ばれている。製造された半導体装置の正常な動作を保証するためには、回路設計・検証段階で製造ばらつきを考慮に入れておくことが重要である。
例えば、一般的な静的タイミング解析(STA: Static Timing Analysis)では、コーナー条件(ワースト条件)での遅延値を用いることによりタイミング解析が行われる。つまり、製造ばらつきに応じたマージンが考慮される。コーナー条件でもタイミング制約が満たされるように回路を設計することにより、製造ばらつきに起因する遅延変動を吸収することができる。一方で、コーナー条件でもタイミング制約が満たされるように回路を設計することは、設計時間の増大を招く。
特許文献1(特開2007−258569号公報)には、半導体装置の設計者にかかる負担を軽減するための技術が記載されている。具体的には、設計段階で考慮される製造ばらつきが通常よりも減らされる。半導体装置が実際に出来上がった後、その半導体装置において目標遅延が得られる電圧及び温度が計測される。そして、計測された電圧及び温度が、半導体装置のユーザに伝えられる。これにより、半導体装置の動作範囲は予め限定されるが、設計者にかかる負担は軽減される。
また、半導体装置の分野において、消費電力の低減は重要な課題の1つである。半導体装置の消費電力を低減することができる技術として、DVFS(Dynamic Voltage and Frequency Scaling)やAVS(Adaptive Voltage Scaling)が知られている。
DVFSは、被制御回路の電圧あるいは/及びクロック周波数を動的に切り替えることによって消費電力を制御する方式である。電圧とクロック周波数とは互いに関連しており、電圧が低くなればクロック周波数も下げる必要がある。逆に言えば、正常動作が保証される範囲内でクロック周波数を下げれば、その分だけ電圧(すなわち消費電力)を低くすることができる。このような観点から、DVFSでは、正常動作可能な電圧とクロック周波数の組み合わせが複数用意され、それら組み合わせが複数の動作ポイント(モード)として提供される。タスクに応じて動作ポイントを動的に切り替えることによって、消費電力を制御することができる。DVFSに関連する技術は、例えば、特許文献2(US特許6,943,613)や特許文献3(US特許7,093,143)に記載されている。
AVSは、被制御回路の状態(負荷、電力等)に応じて供給電圧を適応的に制御する方式である。AVSに関連する技術は、例えば、特許文献4(US公開2005/0225376)や特許文献5(US特許6,967,522)に記載されている。
特開2007−258569号公報 US特許6,943,613 US特許7,093,143 US公開2005/0225376 US特許6,967,522
本願発明者は、電圧制御機能を搭載した半導体装置を設計する際にその電圧制御機能を考慮に入れる必要性を初めて認識した。また、本願発明者は、電圧制御機能を考慮に入れた設計手法に適した半導体装置の必要性を初めて認識した。
本発明の1つの観点において、半導体装置が提供される。その半導体装置は、被制御回路部と、被制御回路部への供給電圧を動的に制御する電圧制御部と、を備える。被制御回路部は、被制御回路部内で遅延を計測する遅延モニタ回路と、モニタ遅延の目標値であるターゲット遅延を示すターゲット遅延情報が格納されるターゲット遅延レジスタと、を備える。遅延モニタ回路は、モニタ遅延とターゲット遅延情報で示されるターゲット遅延との比較を行い、その比較結果を示す比較結果信号を電圧制御部に送る。電圧制御部は、比較結果信号に基づき、モニタ遅延がターゲット遅延により近づくように供給電圧を制御する。
本発明の他の観点において、半導体装置の設計方法が提供される。その半導体装置は、被制御回路部と、被制御回路部への供給電圧を動的に制御する電圧制御部と、を備える。被制御回路部は、被制御回路部内で遅延を計測する遅延モニタ回路と、モニタ遅延の目標値であるターゲット遅延を示すターゲット遅延情報が格納されるターゲット遅延レジスタと、を備える。遅延モニタ回路は、モニタ遅延とターゲット遅延情報で示されるターゲット遅延との比較を行い、その比較結果を示す比較結果信号を電圧制御部に送る。電圧制御部は、比較結果信号に基づき、モニタ遅延がターゲット遅延により近づくように供給電圧を制御する。設計方法は、(A)チップ間ばらつきが実質的に0に設定された遅延ライブラリを作成するステップと、(B)被制御回路部を含むチップの回路設計を行うステップと、(C)遅延ライブラリを用いて、チップのタイミング解析を行うステップと、(D)タイミング制約を満たす遅延ライブラリに基づいて、上記ターゲット遅延を決定するステップと、を含む。
本発明は、電圧制御機能を搭載した半導体装置の設計に有用である。
図1は、本発明の第1の実施の形態に係る半導体装置の構成を示すブロック図である。 図2は、電圧制御可能範囲を示す概念図である。 図3は、本実施の形態における適応型電圧制御を要約的に示すグラフである。 図4は、本実施の形態に係る半導体装置の設計・開発方法を示すフローチャートである。 図5は、本実施の形態における遅延ライブラリを示す概念図である。 図6は、本実施の形態における補正テーブルを示す概念図である。 図7は、本実施の形態における制約解析(ステップS300)を示すフローチャートである。 図8は、タイミング解析を説明するための概念図である。 図9は、本実施の形態における制約解析(ステップS300)を示すフローチャートである。 図10は、本発明の第2の実施の形態に係る半導体装置の構成を示すブロック図である。 図11は、本発明の第3の実施の形態に係る半導体装置の構成を示すブロック図である。 図12は、本発明の第4の実施の形態を説明するための模式図である。 図13は、第4の実施の形態におけるマージン補正テーブルを示す概念図である。 図14は、本発明の第5の実施の形態を説明するための模式図である。 図15は、第5の実施の形態における補正テーブルを示す概念図である。 図16は、本発明の第6の実施の形態を説明するための概念図である。 図17は、本発明の実施の形態に係る設計システムを示すブロック図である。
1.第1の実施の形態
1−1.半導体装置
図1は、本発明の第1の実施の形態に係る半導体装置1の構成を示すブロック図である。この半導体装置1は、電圧制御機能を有している。具体的には、半導体装置1は、電圧制御部20、被制御回路部30、及び電圧レギュレータ40を備えている。被制御回路部30は電圧制御の対象であり、電圧レギュレータ40(電圧出力回路)はその被制御回路部30に供給電圧Vopを供給し、電圧制御部20はその供給電圧Vopを動的に制御する。尚、本実施の形態において、供給電圧Vopは、電源電圧あるいは/及び基板バイアス電圧を意味する。
電圧制御部20は、PMU(Power Management Unit)の機能を有している。この電圧制御部20は、記憶部21及び供給電圧レジスタ22を備えている。供給電圧レジスタ22は、被制御回路部30への供給電圧Vopを示す供給電圧情報23を格納するためのレジスタである。供給電圧情報23は電圧レギュレータ40(電圧出力回路)に送られ、電圧レギュレータ40は、その供給電圧情報23に応じた供給電圧Vopを被制御回路30に出力する。電圧制御部20は、供給電圧制御情報23を設定・更新することによって、被制御回路部30への供給電圧Vopを動的に制御することができる。
被制御回路部30は、電圧制御の対象となるロジック回路群を備えている。また、被制御回路部30は、少なくとも1つの遅延モニタ回路31とターゲット遅延レジスタ32を備えている。遅延モニタ回路31は、被制御回路部30内に設けられており、当該位置での遅延を計測する。遅延モニタ回路31によって実際に計測された遅延は、以下「モニタ遅延」と参照される。ターゲット遅延レジスタ32は、「ターゲット遅延Dt」を示すターゲット遅延情報11を格納するためのレジスタである。ターゲット遅延Dtとは、遅延モニタ回路31によって得られるモニタ遅延の“目標値”である。遅延モニタ回路31は、モニタ遅延を、ターゲット遅延情報11で示されるターゲット遅延Dtと比較し、その比較結果を示す比較結果信号33を生成する機能も有する。
尚、図1の例では、被制御回路部30内の異なる位置に複数の遅延モニタ回路31−1、31−2が配置されており、それら遅延モニタ回路31−1、31−2はそれぞれの位置でのモニタ遅延を取得する。また、それら遅延モニタ回路31−1、31−2のそれぞれに対してターゲット遅延レジスタ32−1、32−2が設けられている。但し、ターゲット遅延レジスタ32は、複数の遅延モニタ回路31によって共用されてもよい。
被制御回路部30、電圧制御部20及び電圧レギュレータ40は全て、1チップ上に搭載されてもよい。あるいは、被制御回路部30と電圧制御部20が1チップ上に搭載され、電圧レギュレータ40は当該チップの外に設けられてもよい。あるいは、被制御回路部30だけが1チップ上に搭載され、電圧制御部20と電圧レギュレータ40は当該チップの外に設けられてもよい。
以上のように構成された半導体装置1は、外部から電圧制御情報10を受け取り、その電圧制御情報10に基づいて適応型の電圧制御を行う。電圧制御情報10は、少なくとも、ターゲット遅延情報11とノミナル電圧情報12を含んでいる。ターゲット遅延情報11は、上述の通り、遅延モニタ回路31でのモニタ遅延の目標値であるターゲット遅延Dtを示す。ノミナル電圧情報12は、「ノミナル電圧Vnom」を示す。ノミナル電圧Vnomとは、遅延モニタ回路31においてターゲット遅延Dtが得られる供給電圧Vopの“設計値”である。つまり、ノミナル電圧Vnomで動作する遅延モニタ回路31では、設計上、モニタ遅延はターゲット遅延Dtとなることが期待される。従って、ターゲット遅延情報11とノミナル電圧情報12は、一組として互いに関連付けられる。このような電圧制御情報10は、後述される本実施の形態に係る半導体装置の設計方法によって決定・作成される。
動作の初期段階において、電圧制御部20は、外部から電圧制御情報10を受け取り、その電圧制御情報10を記憶部21に格納する。また、電圧制御部20は、ターゲット遅延情報11を被制御回路部30の各遅延モニタ回路31に送る。各遅延モニタ回路31は、受け取ったターゲット遅延情報11を対応するターゲット遅延レジスタ32に格納する。更に、電圧制御部20は、ノミナル電圧情報12を供給電圧情報23として供給電圧レジスタ22に格納することにより、供給電圧レジスタ22の初期設定を行う。つまり、電圧制御部20は、供給電圧Vopをノミナル電圧Vnomに初期設定する。
通常動作時、電圧レギュレータ40は、供給電圧レジスタ22に設定された供給電圧情報23に応じた供給電圧Vopを、被制御回路部30に供給する。遅延モニタ回路31を含む被制御回路部30は、その供給電圧Vopで動作する。被制御回路部30内の各遅延モニタ回路31は、各々の位置においてモニタ遅延を計測する。また、各遅延モニタ回路31は、ターゲット遅延レジスタ32を参照して、モニタ遅延とターゲット遅延情報11で示されるターゲット遅延Dtとの比較を行う。そして、各遅延モニタ回路31は、その比較結果を示す比較結果信号33を電圧制御部20に送る。
最初、電圧レギュレータ40は、ノミナル電圧Vnomを被制御回路部30に供給する。この時、遅延モニタ回路31では、モニタ遅延はターゲット遅延Dtとなることが期待される。しかしながら、実際には、チップ間の製造ばらつきや温度変動により、モニタ遅延はターゲット遅延Dtからずれることが多い。そこで、電圧制御部20は、各遅延モニタ回路31から受け取る比較結果信号33に基づいて、供給電圧Vopを最適制御する。具体的には、電圧制御部20は、モニタ遅延がターゲット遅延Dtにより近づくように供給電圧Vopを制御する。遅延モニタ回路31が複数存在する場合、電圧制御部20は、全体として最適な供給電圧Vopを決定する。そして、電圧制御部20は、供給電圧レジスタ22に格納された供給電圧情報23を、変更後の供給電圧Vopを示すように更新する。
以上に説明されたように、本実施の形態に係る半導体装置1は、遅延モニタ回路31でのモニタ遅延がターゲット遅延Dtとなるように、供給電圧Vopを適応的に制御する。但し、供給電圧Vopは、無制限に制御できるわけではない。図2に示されるように、供給電圧Vopは、最小電圧Vminから最大電圧Vmaxまでの範囲VRNGにおいて制御され得る。その範囲VRNGは、以下「電圧制御可能範囲」と参照される。電圧制御可能範囲VRNGは、仕様において予め定められる設計制約の1つであり、半導体装置1の設計時に参照される。
図3は、本実施の形態における適応型電圧制御を要約的に示す電圧−遅延グラフである。供給電圧Vopとモニタ遅延との間には負の相関関係があり、供給電圧Vopが高くなるほどモニタ遅延は小さくなる。製造ばらつき及び温度がセンター条件(CENTER)の場合、ノミナル電圧Vnomに対してターゲット遅延Dtが得られる。製造ばらつきがセンター条件よりもSLOW側となったチップの場合、あるいは、温度がセンター条件よりも高い場合、ノミナル電圧Vnomに対するモニタ遅延はターゲット遅延Dtよりも大きくなる。従って、モニタ遅延がより小さくなるように、供給電圧Vopはノミナル電圧Vnomよりも高圧側に調整される。一方、製造ばらつきがセンター条件よりもFAST側となったチップの場合、あるいは、温度がセンター条件よりも低い場合、ノミナル電圧Vnomに対するモニタ遅延はターゲット遅延Dtよりも小さくなる。従って、モニタ遅延がより大きくなるように、供給電圧Vopはノミナル電圧Vnomよりも低圧側に調整される。
このように、チップ間の製造ばらつきや温度変動に起因する遅延ばらつきは、本実施の形態に係る適応型電圧制御によってキャンセルされる。ここで、遅延ばらつきのキャンセルが、ハードウェアによって実現されていることに留意されたい。具体的には、被制御回路部30に、遅延モニタ回路31とターゲット遅延レジスタ32が埋め込まれる。ターゲット遅延レジスタ32にターゲット遅延情報11を格納すれば、ターゲット遅延Dtが得られるように供給電圧Vopが自動的に制御され、結果として遅延ばらつきが解消される。言い換えれば、設計段階で適切なターゲット遅延Dtを決めておけば、チップ間の遅延ばらつきは半導体装置側で自動的に解消される。
1−2.設計方法
一般的に、設計回路のタイミング解析(遅延解析)では、セルのセンター遅延値及びコーナー遅延値を提供する「遅延ライブラリ」が用いられる。コーナー遅延値は、製造ばらつきや温度変動に起因する“遅延ばらつき”が考慮された遅延値である。つまり、遅延ライブラリでは、製造ばらつきや温度変動を考慮して、所定のマージンが設定される。そのような遅延ライブラリを用いたタイミング解析により、ワーストケースでもタイミング制約が満たされるか否かが検証される。タイミング制約が満たされない場合、回路の設計がやり直される。例えば、信号駆動能力を高めるために、バッファサイズの増加やバッファの追加が行われる。このように、ワーストケースでも回路が正常動作するように、設計が行われる。
しかしながら、実際に製造されたチップにおいて、遅延がワーストケースとなることはまれである。すなわち、ワーストケースを見込んで追加されたバッファ等は、ほとんどのチップにとって冗長な回路構成となる。このような冗長な回路構成は、回路面積及び消費電力をいたずらに増加させる。つまり、設計段階でワーストケースの遅延ばらつきのみを考慮することは、設計期間の増加だけでなく、回路面積及び消費電力の不必要な増大を招く。
上述の通り、本実施の形態に係る半導体装置1は、適応型の電圧制御機能を有しており、チップ間の製造ばらつきや温度変動に起因する遅延ばらつきを自律的に解消することができる。設計段階で適切なターゲット遅延Dtを決めておけば、遅延ばらつきは、半導体装置1での電圧制御によって自動的に解消される。本願発明者は、この点に着目し、半導体装置1で対応可能な遅延ばらつきを設計段階では考慮から除外することを思いついた。これにより、設計期間を短縮し、また、冗長な回路構成を未然に防ぐことができるはずである。冗長な回路構成が省かれれば、回路面積及び消費電力が削減される。
本実施の形態に係る設計方法は、以上に説明された観点に立脚しており、半導体装置1の電圧制御機能を考慮に入れる代わりに、半導体装置1で対応可能な遅延ばらつきを考慮から除外する。更に、本実施の形態に係る設計方法では、半導体装置1の電圧制御機能に必要な「電圧制御情報10」が作成される。以下、本実施の形態に係る設計方法を詳しく説明する。
図4は、本実施の形態に係る半導体装置1の設計・開発方法を示すフローチャートである。
ステップS100:基本設計データの作成
ステップS100では、本実施の形態に係る設計処理に必要な基本データである遅延ライブラリLIB、補正テーブルTBL、設計制約データ、設計マージンデータなどが作成される。設計制約データや設計マージンデータは、通常と同様である。
図5は、本実施の形態における遅延ライブラリLIBを概念的に示している。比較として、従来の遅延ライブラリも破線で示されている。遅延ライブラリで与えられる遅延分布は、ノミナル遅延値(平均値)μと、そのノミナル遅延値μからの遅延ばらつき(標準偏差)σで規定される。一般的に、遅延ライブラリで考慮される遅延ばらつきσは、次の式(1)で表される。
Figure 0005524568
ここで、σd2dは「チップ間ばらつき」であり、σwidは「チップ内ばらつき」であり、σcntlは「制御系起因のばらつき」である。上述の通り、本実施の形態によれば、半導体装置1で対応可能な遅延ばらつきが考慮から除外される。具体的には、チップ間ばらつきσd2dが考慮から除外され、実質的に“0”に設定される。
Figure 0005524568
その結果、本実施の形態の遅延ライブラリLIBで考慮される遅延ばらつきは、従来の遅延ライブラリと比較して小さくなる。図5に示されるように、本実施の形態の遅延分布(実線)は、従来の遅延分布(破線)よりも狭くなる。言い換えれば、本実施の形態の遅延ライブラリLIBは、従来の遅延ライブラリよりも“タイト”になる。
このような遅延ライブラリLIBが、様々な条件(供給電圧,温度)に対して作成される。図5には、例として、3種類の電源電圧VDDのそれぞれに対応した3種類の遅延ライブラリLIBが示されている。電源電圧VDDが基準電圧Vtypより低い場合(VDD<Vtyp)、遅延分布はSLOW側にシフトする。一方、電源電圧VDDが基準電圧Vtypより高い場合(VDD>Vtyp)、遅延分布はFAST側にシフトする。尚、ここでの複数種類の電圧は、図2で示された電圧制御可能範囲VRNG内に含まれており、それら複数種類の電圧のそれぞれに対応する複数種類の遅延ライブラリLIBが作成される。
図6は、本実施の形態における補正テーブルTBLを概念的に示している。補正テーブルTBLは、電圧と遅延との間の相関関係を近似的に示すテーブルである。より詳細には、補正テーブルTBLは、遅延補正係数αと電圧補正係数との対応関係を示している。電圧と遅延との間には負の相関関係がある(図3参照)。遅延をより小さくしなければならないとき、それに応じて電圧を上げる必要がある。逆に、遅延をより大きくしてもよいとき、電圧を下げることができる。補正テーブルTBLは、遅延と電圧のそれぞれの補正倍率を“近似的”に示していると言える。この補正テーブルTBLの利用方法は、後に詳しく説明される。
ステップS200:回路設計
ステップS200では、チップの回路設計が行われる。設計されるチップは、少なくとも被制御回路部30を含んでいる。回路設計の手法は、従来と同じである。論理合成によって、設計回路のネットリストが作成され、レイアウト設計によって、設計回路のレイアウトデータが作成される。
このとき、図5で示された“タイト”な遅延ライブラリLIBを用いて設計を進めることで、冗長な回路構成が省かれるため、回路面積及び消費電力が削減される。ただし、ここでは必ずしも“タイト”な遅延ライブラリLIBを用いる必要は無い。設計段階でタイミングに関する設計制約を守りきれなかった場合、後述のタイミング解析でエラーとなり、再度回路設計(ステップS200)に戻ってやり直す必要がでてくる。この後戻りをなくすため、通常のライブラリ、あるいは通常よりもタイトであるが、図5で示された“タイト”な遅延ライブラリLIBよりも広いばらつきマージンをもった遅延ライブラリを用いることもできる。
ステップS300:制約解析
ステップS300では、ネットリスト、レイアウトデータ、設計制約、遅延ライブラリLIB等を用いることにより、制約解析が行われる。制約解析は、DRC(Design Rule Check)やタイミング解析を含む。
タイミング解析では、図5で示された“タイト”な遅延ライブラリLIBが用いられる。従って、通常の遅延ライブラリが用いられる場合と比較して、設計期間が短縮され、また、冗長な回路構成が未然に防止される。冗長な回路構成が省かれるため、回路面積及び消費電力が削減される。
また、タイミング制約を満たす最適な遅延ライブラリLIBが選択される。そして、選択された遅延ライブラリLIBに基づいて、ターゲット遅延Dtとノミナル電圧Vnomの組み合わせが決定され、上述の電圧制御情報10(ターゲット遅延情報11、ノミナル電圧情報12)が作成される。ここで、ノミナル電圧Vnomは、選択された遅延ライブラリLIBに対応した電圧である。また、遅延モニタ回路31でのターゲット遅延Dtは、選択された遅延ライブラリLIBのノミナル遅延値μに基づいて算出される。尚、タイミング制約を満たす遅延ライブラリLIBが無い場合、設計制約が適宜変更された上で、回路設計(ステップS200)がやり直されてもよい。ステップS300の詳細は後述される。
ステップS400:製造
ステップS400において、設計が完了したチップが製造される。また、本実施の形態に係る半導体装置1が組み立てられる。
ステップS500:テスト
ステップS500において、半導体装置1の動作テストが実施される。
ステップS600:実動作
上述の第1−1節で説明されたように、半導体装置1が動作する。
1−3.制約解析
次に、本実施の形態に係る制約解析(ステップS300)をより詳しく説明する。図7は、本実施の形態における制約解析(ステップS300)を示すフローチャートである。
ステップS310:
遅延ライブラリLIBを用いることにより、タイミング解析が行われる。ここでのタイミング解析は、STA(Static
Timing Analysis)あるいは統計STAである。図8は、回路が所望の動作周波数を満たすための条件(タイミング制約)を説明するための図である。その条件は、一般的に、次の式(3)で表される。
Figure 0005524568
ステップS320〜S340:
複数種類の遅延ライブラリLIBの中にタイミング制約を満たすものが有る場合(ステップS320;Yes)、次の処理が実行される。すなわち、タイミング制約を満たす遅延ライブラリLIBの中で最適なものが選択される(ステップS330)。具体的には、タイミング制約を満たす範囲内で最も低い電圧(最も大きいノミナル遅延値μ)に対応した遅延ライブラリLIBが選択される。そして、選択された遅延ライブラリLIBに基づいて、ターゲット遅延Dtとノミナル電圧Vnomの組み合わせが決定され、電圧制御情報10が作成される(ステップS340)。ここで、ノミナル電圧Vnomは、選択された遅延ライブラリLIBに対応した電圧である。また、遅延モニタ回路31でのターゲット遅延Dtは、選択された遅延ライブラリLIBのノミナル遅延値μに基づいて算出される。
このように、本実施の形態では、タイミング制約を満たす範囲内で最も大きいターゲット遅延Dt(最も低いノミナル電圧Vnom)が選択される。特に、タイミング解析ではタイトな遅延ライブラリLIBが用いられるため、通常の遅延ライブラリが用いられる場合と比較して、より大きいターゲット遅延Dt(より低いノミナル電圧Vnom)を選択することが可能である。言い換えれば、半導体装置1で対応可能な遅延ばらつきの分だけ設計マージンが小さくなり、ノミナル電圧Vnomを効率的に低減することが可能となる。その結果、無駄な消費電力が削減される。本実施の形態によれば、効率的な省電力設計が可能となる。
ステップS350:
一方、複数種類の遅延ライブラリLIBの中にタイミング制約を満たすものが無い場合(ステップS320;No)、設計制約が変更される(ステップS350)。具体的には、タイミング解析の結果に基づいて、クロック周期(動作周波数)に関連する設計制約が変更される。その後、処理は、回路設計(ステップS200)に戻る。
以上に説明された制約解析を実現するために、用意された全ての遅延ライブラリLIBを順次用いて、タイミング解析を繰り返し実行することが考えられる。その一方で、処理時間を削減するために、次に説明されるような簡易で等価的な手法も可能である。図9を参照して、その簡易で等価的な手法を説明する。
ステップS361:
まず、代表的な1つの遅延ライブラリLIBが選択される。例えば、基準電圧Vtyp(図5参照)に対応した遅延ライブラリLIBが選択される。
ステップS362:
ステップS361で選択された遅延ライブラリLIBを用いることにより、タイミング解析が行われる。このとき、回路が所望の動作周波数を満たすための条件(タイミング制約)としては、既出の式(3)の代わりに、下記式(4)が用いられる。
Figure 0005524568
式(4)では、パラメータαを用いることにより遅延値が補正されている。このパラメータαが、図6で示された補正テーブルTBLに現れる遅延補正係数αである。代表の遅延ライブラリLIBを用いたタイミング解析を通して、上記式(4)が満たされる遅延補正係数αの範囲を算出することが可能である。尚、ここでは簡易的に、パラメータα一つで表現しているが、回路を構成するセルの種類によって電圧変化に対する遅延変化率が異なる場合があり、その場合は、それぞれのセルごとに別のパラメータαnを設定することもできる。このときの手順については後述する。
ステップS363:
図6で示された補正テーブルTBLを参照することにより、ステップS362で得られた遅延補正係数αの範囲に相当する電圧補正係数の範囲が求められる。尚、図6で示された補正テーブルTBLでは、本方式の近似処理を考慮したマージンが電圧補正係数に加えられている。続いて、その電圧補正係数の範囲に、代表の遅延ライブラリLIBの電圧(基準電圧Vtyp)を掛け合わせることによって、上記式(4)が満たされる電圧範囲が算出される。
ステップS364〜S367:
ステップS363で得られた電圧範囲が一部でも電圧制御可能範囲VRNG内にある場合(ステップS364;Yes)、それは、タイミング制約を満たす遅延ライブラリLIBが存在すること(図7参照、ステップS320;Yes)に相当する。従って、既出のステップS330と同様に、その電圧範囲の中で最も低い電圧が選択される(ステップS365)。すなわち、タイミング制約を満たす範囲内で最も低い電圧(最も大きいα)が選択される。これにより、消費電力が最大限削減されることになる。選択された最低電圧が基準電圧Vtypより高い場合であっても、それは、消費電力の増加が最小限に抑えられていることを意味する。
本方式は近似的であるため、ステップS365で選択された最低電圧に対応した遅延ライブラリLIBを用いることによって、(あるいは、近い遅延ライブラリLIBを補間することによって)、タイミング解析が再度実施される(ステップS366)。このときの条件式は、式(3)でよい。タイミング制約が満たされることが確認されると、電圧制御情報10が作成される(ステップS367)。ここで、ノミナル電圧Vnomは、ステップS365で選択された最低電圧である。また、遅延モニタ回路31でのターゲット遅延Dtは、その最低電圧に対応した遅延ライブラリLIBのノミナル遅延値μに基づいて算出される。
ステップS368〜369:
一方、ステップS363で得られた電圧範囲が電圧制御可能範囲VRNG外の場合(ステップS364;No)、それは、電圧制御可能範囲VRNGの最大値Vmaxが用いられてもタイミング制約が満たされないことを意味する。これは、タイミング制約を満たす遅延ライブラリLIBが無いこと(図7参照、ステップS320;No)にも相当する。この場合、既出のステップS350と同様に、クロック周期(動作周波数)に関連する設計制約が変更される。
具体的には、まず、遅延補正係数αの許容値α’が設定される(ステップS368)。この許容値α’は、電圧制御可能範囲VRNG内の任意の電圧に対応する遅延補正係数αに設定される。許容値α’は、タイミングが最大限改善される最大電圧Vmaxに対応する遅延補正係数αに設定されてもよい。次に、許容値α’とパラメータβを含む下記条件式(5)が考慮される。
Figure 0005524568
この条件式(5)が満たされるパラメータβが算出される。つまり、タイミング制約を満たすために、電圧制御と共に、クロック周期の変更が考慮される。言い換えれば、タイミング制約のうち電圧制御で達成できない残余分が、クロック周期の変更に換算される。条件式(5)を満たすパラメータβが算出されると、「クロック周期×β」が「新たなクロック周期」として設計制約に書き込まれる(ステップS369)。このようにして、クロック周期(動作周波数)に関連する設計制約が更新される。その後、処理は、回路設計(ステップS200)に戻る。
1−4.効果
本実施の形態に係る半導体装置1は、適応型の電圧制御機能を有しており、チップ間の製造ばらつきや温度変動に起因する遅延ばらつきを自律的にキャンセルすることができる。従って、設計段階では、その電圧制御機能が考慮され、半導体装置1で対応可能な遅延ばらつきは考慮から除外される。具体的には、タイミング解析において、通常よりも“タイト”な遅延ライブラリLIBが用いられる。これにより、設計期間を短縮し、また、冗長な回路構成を未然に防ぐことが可能となる。冗長な回路構成が省かれるため、回路面積及び消費電力が削減される。
半導体装置1の電圧制御機能に必要なターゲット遅延Dt及びノミナル電圧Vnomは、上記設計段階で決定される。このとき、本実施の形態では、タイミング制約を満たす範囲内で最も大きいターゲット遅延Dt(最も低いノミナル電圧Vnom)が選択される。特に、タイミング解析ではタイトな遅延ライブラリLIBが用いられるため、通常の遅延ライブラリが用いられる場合と比較して、より大きいターゲット遅延Dt(より低いノミナル電圧Vnom)を選択することが可能である。言い換えれば、半導体装置1で対応可能な遅延ばらつきの分だけ設計マージンが小さくなり、ノミナル電圧Vnomを効率的に低減することが可能となる。その結果、無駄な消費電力が削減される。本実施の形態によれば、効率的な省電力設計が可能になると言える。
また、本実施の形態に係る半導体装置1は、遅延モニタ回路31とターゲット遅延レジスタ32を備えている。ターゲット遅延レジスタ32には、上記設計手法により決定されたターゲット遅延Dtを示すターゲット遅延情報11が格納される。そして、遅延モニタ回路31においてターゲット遅延Dtが得られるように、供給電圧Vopが自動的に制御される。このような回路構成は、本実施の形態に係る省電力設計手法に適していると言える。
2.第2の実施の形態
図10は、本発明の第2の実施の形態に係る半導体装置1の構成を示すブロック図である。第1の実施の形態と重複する説明は適宜省略される。本実施の形態において、電圧制御情報10は更に、「テスト遅延Dtest」を示すテスト遅延情報13を含んでいる。テスト遅延Dtestとは、半導体装置1のテスト(図4、ステップS500)時の条件(電圧、温度)下でのモニタ遅延の期待値である。このテスト遅延情報13も、設計段階で作成される。
テスト(ステップS500)に先立って、電圧制御部20は、外部から電圧制御情報10を受け取り、その電圧制御情報10を記憶部21に格納する。更に、電圧制御部20は、ターゲット遅延情報11とテスト遅延情報13を、被制御回路部30の各遅延モニタ回路31に送ってもよい。
テスト(ステップS500)時、各遅延モニタ回路31は、当該テスト条件下でのモニタ遅延を計測する。各遅延モニタ回路31は、計測したモニタ遅延を示す情報を電圧制御部20に送ってもよい。電圧制御部20あるいは被制御回路部30(遅延モニタ回路31)は、各遅延モニタ回路31に関して、モニタ遅延とテスト遅延Dtestとの差分を計算し、その差分だけターゲット遅延Dtを補正する。つまり、通常動作前のテスト段階で、ターゲット遅延Dtの予備的な補正が行われる。このターゲット遅延Dtの予備補正は、被制御回路部30に含まれる複数の遅延モニタ回路31に対して別々に行われる。これにより、製造ばらつきに依る遅延変動分は事前に補正され、通常動作時には温度等の環境条件に依る遅延変動分の補正を行うだけでよくなり、より高速に補正を行うことが可能になる。また、遅延モニタ回路31毎に被制御回路部30の領域を分割し、それぞれの領域に最適な電圧Vopを与えてもよい。この場合、遅延のチップ内ばらつきσwidのうちチップ内位置依存に起因する成分がキャンセルされる。ターゲット遅延レジスタ32には、補正後のターゲット遅延Dtを示すターゲット遅延情報11’が格納され、これ以降は、補正後のターゲット遅延Dtが用いられる。尚、上記差分(補正値)を示す情報は、電圧制御部20の記憶部21に格納され、適宜使用されてもよい。
本実施の形態によれば、テスト段階で、ターゲット遅延Dtの予備補正が行われる。その結果、通常動作時の補正処理を高速に完了させることが可能となる。また、遅延のチップ内ばらつきσwidの一部がキャンセルされ得る。その場合、設計段階では、チップ間ばらつきσd2dに加えてチップ内ばらつきσwidの一部をも、考慮から除外することが可能である。すなわち、遅延ライブラリLIBを更にタイトにすることができる。これにより、回路面積及び消費電力が更に削減され、好適である。
3.第3の実施の形態
本発明の第3の実施の形態では、第1の実施の形態に対して更にDVFS(Dynamic Voltage and Frequency Scaling)が適用される。DVFSでは、ノミナル電圧Vnomとクロック周波数の組み合わせが複数用意され、それら組み合わせが複数の動作ポイント(モード)として提供される。
図11は、第3の実施の形態に係る半導体装置1の構成を示すブロック図である。第1の実施の形態と重複する説明は適宜省略される。本実施の形態に係る半導体装置1は、第1の実施の形態の構成に加えて、更にDVFS部50を備えている。DVFS部50は、電圧あるいはクロック周波数の異なる複数のモードのうち1つを指定するモード指定信号51を、電圧制御部20に送る。
電圧制御情報10は、ターゲット遅延情報11とノミナル電圧情報12の組み合わせを、DVFSの複数のモード毎に有している。例えば図11において、ターゲット遅延情報11Aとノミナル電圧情報12AはモードA用であり、ターゲット遅延情報11Bとノミナル電圧情報12BはモードB用であり、ターゲット遅延情報11Cとノミナル電圧情報12CはモードC用である。各モードに関する最適なターゲット遅延Dtとノミナル電圧Vnomは、各モードで指定されたクロック周波数等に基づいて、第1の実施の形態と同様に決めることができる。尚、DVFSによって電圧が指定される場合、その電圧がノミナル電圧Vnomとして用いられる。
電圧制御部20は、外部から電圧制御情報10を受け取り、その電圧制御情報10を記憶部21に格納する。更に、電圧制御部20は、DVFS部50からモード指定信号51を受け取り、指定されたモードに対応したターゲット遅延情報11とノミナル電圧情報12の組み合わせを選択する。そして、電圧制御部20は、選択したターゲット遅延情報11を各遅延モニタ回路31に送り、また、選択したノミナル電圧情報12を供給電圧情報23として供給電圧レジスタ22に格納する。DVFS部50によってモードが切り換えられた場合、電圧制御部20は、新たなモードに応じたターゲット遅延情報11とノミナル電圧情報12を選択し、ターゲット遅延Dt及びノミナル電圧Vnomを切り換える。このようにして、DVFSを本発明に組み込むことが可能となる。
4.第4の実施の形態
半導体装置1の電圧制御機能による遅延調整の精度は、被制御回路部30に埋め込まれる遅延モニタ回路31の数や分布に依存する。例えば、被制御回路部30内に遅延モニタ回路31が1つしか存在しない場合、その1つの遅延モニタ回路31から遠く離れた信号パスでは、所望の遅延値からの誤差が大きくなる可能性がある。そこで、第4の実施の形態では、設計段階のタイミング解析(ステップS310、S362)において、遅延調整誤差に関する設計マージンが考慮される。
図12は、本実施の形態におけるタイミング解析を説明するための模式図である。遅延調整誤差に寄与するパラメータの1つは、被制御回路部30に搭載される遅延モニタ回路31の数である。遅延モニタ回路31の数が少なくなるにつれ、遅延調整誤差は大きくなると予想される。そこで、遅延モニタ回路31の数が少なくなるにつれ、設計マージンはより大きく設定される。
また、遅延調整誤差に寄与する他のパラメータは、信号パスと直近の遅延モニタ回路31との間の距離である。その距離が大きくなるにつれ、遅延調整誤差は大きくなると予想される。そこで、タイミング解析時の検証パスと直近の遅延モニタ回路31との間の距離が大きくなるにつれ、当該検証パスに対する設計マージンはより大きく設定される。尚、この距離に依存する設計マージンは、タイミング解析時の検証パス毎に考える必要がある。
図13は、上記2つのパラメータ(数、距離)の両方を考慮する場合のマージン係数γを与えるマージン補正テーブルMGNの一例を示している。マージン係数γは、遅延モニタ回路31の数が多くなるにつれて小さくなり、その数が少なくなるにつれて大きくなる。また、マージン係数γは、距離が大きくなるにつれて大きくなり、距離が小さくなるにつれて小さくなる。このようなマージン係数γが、下記式(6)に示されるように、タイミング解析時の条件式に組み込まれる。
Figure 0005524568
タイミング解析では、この条件式(6)が用いられる。距離も考慮される場合、検証パス毎に別々のマージン係数γが用いられる。尚、上記例では2つのパラメータ(数、距離)が考慮されたが、それに限られない。但し、遅延モニタ回路31の数は少なくとも考慮されることが好ましい。
5.第5の実施の形態
図14に示されるように、トランジスタの閾値電圧(Vt)が異なる複数種のセルがロジック回路内に混在する場合がある(LVT:低Vt、MVT:中Vt、HVT:高Vt)。これは、ロジック回路におけるリーク電流を抑制するためである。但し、閾値電圧Vtが異なるトランジスタ間では、電圧変動に対する遅延変動率が異なる。そこで、第5の実施の形態では、閾値電圧Vt毎に異なる遅延補正係数αが用意される。
図15は、本実施の形態において用いられる補正テーブルTBLの一例を示している。図15に示されるように、1つの電圧補正係数に対して3種類の遅延補正係数αL、αM、αHが対応している。遅延補正係数αLは低Vtセルに適用され、遅延補正係数αMは中Vtセルに適用され、遅延補正係数αHは高Vtセルに適用される。タイミング解析では、図15で示された補正テーブルTBLが参照される。これにより、タイミング解析の精度が向上する。
なお、ここでは電圧変動に対する遅延変動率が異なるケースとして、閾値電圧が異なるセルを例示したが、閾値電圧の違いだけでなく、様々な要因で電圧変動率が異なるセル種類があった場合には、同様の手法をとれることは明らかである。
6.第6の実施の形態
多くの場合、遅延ライブラリはプロセス開発初期段階に設計され、その後は同じ遅延ライブラリが使用され続ける。しかし、製造プロセスは常に改善されており、製造ばらつきは時間とともに向上する。そのため、図16に示されるように、ライブラリ設計時のノミナル遅延値μと遅延ばらつきσに比べ、実際のノミナル遅延値μ’と遅延ばらつきσ’の方が小さくなる場合がある。この場合、ライブラリ設計時と実際との間の差は、冗長な設計マージンとなり、いたずらに設計コストを増大させる原因となる。しかしながら、製造プロセスが改善されるたびに遅延ライブラリを再作成することも、多大な労力を要し、現実的でない。そこで、本発明の第6の実施の形態では、次のような処理が提案される。
まず、製品に組み込まれたリングオシレータ等を用いて遅延を測定し、実遅延データベースを作成する。次に、その実遅延データベースから得られる遅延分布と遅延ライブラリから得られる遅延分布とが比較され、両者のズレが算出される。そして、そのズレに応じた分だけ、ノミナル電圧Vnom及びターゲット遅延Dtが修正される。
具体的には、遅延ライブラリに基づいて、統計的な遅延パラメータ(μ+σ)が算出される。また、実遅延データベースに基づいて、統計的な遅延パラメータ(μ’+σ’)が算出される。そして、次の式(7)が成り立つ遅延補正係数αが算出される。
Figure 0005524568
続いて、補正テーブルTBLを参照することにより、算出された遅延補正係数αに対応する電圧補正係数が求められる。この電圧補正係数に基づいて、ノミナル電圧Vnomが修正(削減)される。そして、修正後のノミナル電圧Vnomを示す新たなノミナル電圧情報12とそれに応じた新たなターゲット遅延情報11が作成され、半導体装置1に提供される。これにより、回路の動作性能を維持しながら、電圧を更に下げることが可能となる。すなわち、製造プロセスの成熟に応じた電圧制御が可能となる。
尚、上述した実施の形態同士の組み合わせも可能である。
7.設計システム
本発明に係る設計手法は、コンピュータを利用した(computer-aided)設計システムにより実現され得る。図17は、設計システム100の一例を示すブロック図である。設計システム100は、処理装置110、記憶装置120、入力装置130、及び出力装置140を備えている。処理装置110としてCPUが例示される。記憶装置120としてRAMやハードディスクドライブが例示される。入力装置130としてキーボードやマウスが例示される。出力装置140として表示装置が例示される。
記憶装置120には、遅延ライブラリLIB、補正テーブルTBL、ネットリストNET、レイアウトデータLAY、設計制約データSDC、電圧制御情報10、設計プログラムPROGなどが格納される。
設計プログラムPROGは、処理装置110により実行されるコンピュータプログラムである。設計プログラムPROG、コンピュータ読み取り可能な記録媒体に記録されていてもよい。処理装置110は、設計プログラムPROGを実行することによって、半導体装置の設計処理を実現する。具体的には、処理装置110は、機能ブロックとして、遅延ライブラリ作成部111、回路設計部112、及び制約解析部113を備える。これら機能ブロックは、処理装置110と設計プログラムPROGとの協働により実現される。
遅延ライブラリ作成部111は、上述のステップS100を実行し、遅延ライブラリLIBを作成する。回路設計部112は、上述のステップS200を実行し、ネットリストNET及びレイアウトデータLAYを作成する。制約解析部113は、遅延ライブラリLIB、補正テーブルTBL、ネットリストNET、レイアウトデータLAY、設計制約データSDC等を使用して、上述のステップS300を実行する。これにより、制約解析部113は、半導体装置1に提供される電圧制御情報10を作成する。
以上、本発明の実施の形態が添付の図面を参照することにより説明された。但し、本発明は、上述の実施の形態に限定されず、要旨を逸脱しない範囲で当業者により適宜変更され得る。
1 半導体装置
10 電圧制御情報
11 ターゲット遅延情報
12 ノミナル電圧情報
13 テスト遅延情報
20 電圧制御部
21 記憶部
22 供給電圧レジスタ
23 供給電圧情報
30 被制御回路部
31 遅延モニタ回路
32 ターゲット遅延レジスタ
33 比較結果信号
40 電圧レギュレータ
50 DVFS部
51 モード指定信号
100 設計システム
110 処理装置
111 遅延ライブラリ作成部
112 回路設計部
113 制約解析部
120 記憶装置
130 入力装置
140 出力装置
Dt ターゲット遅延
Dm モニタ遅延
Dtest テスト遅延
VRNG 電圧制御可能範囲
Vnom ノミナル電圧
Vop 供給電圧
LIB 遅延ライブラリ
TBL 補正テーブル
MGN マージン補正テーブル
NET ネットリスト
LAY レイアウトデータ
SDC 設計制約データ
PROG 設計プログラム

Claims (12)

  1. 被制御回路部と、
    前記被制御回路部への供給電圧を動的に制御する電圧制御部と
    を備え、
    前記被制御回路部は、
    前記被制御回路部内で遅延を計測する遅延モニタ回路と、ここで、前記計測された遅延はモニタ遅延であり、
    前記モニタ遅延の目標値であるターゲット遅延を示すターゲット遅延情報が格納されるターゲット遅延レジスタと
    を備え、
    前記ターゲット遅延情報は、チップ間バラツキを除いた遅延バラツキを持つ遅延ライブラリから得られ、前記被制御回路部は、前記遅延ライブラリを用いて設計され、
    前記遅延モニタ回路は、前記モニタ遅延と前記ターゲット遅延情報で示される前記ターゲット遅延との比較を行い、前記比較の結果を示す比較結果信号を前記電圧制御部に送り、
    前記電圧制御部は、前記比較結果信号に基づき、前記モニタ遅延が前記ターゲット遅延により近づくように前記供給電圧を制御する
    半導体装置。
  2. 請求項1に記載の半導体装置であって、
    更に、電圧出力回路を備え、
    前記電圧制御部は、前記供給電圧を示す供給電圧情報が格納される供給電圧レジスタを備え、前記供給電圧情報を更新することによって前記供給電圧を制御し、
    前記電圧出力回路は、前記供給電圧情報に応じた前記供給電圧を前記被制御回路に出力する
    半導体装置。
  3. 請求項2に記載の半導体装置であって、
    前記電圧制御部は、外部から電圧制御情報を受け取り、
    前記電圧制御情報は、
    前記ターゲット遅延情報と、
    前記ターゲット遅延が得られる前記供給電圧の設計値であるノミナル電圧を示すノミナル電圧情報と
    を含み、
    前記ターゲット遅延情報と前記ノミナル電圧情報は互いに関連付けられ、
    前記電圧制御部は、前記ターゲット遅延情報を前記被制御回路部に送り、前記被制御回路部は、前記ターゲット遅延情報を前記ターゲット遅延レジスタに格納し、
    前記電圧制御部は、前記ノミナル電圧情報を前記供給電圧情報として用いることにより、前記供給電圧レジスタを初期設定する
    半導体装置。
  4. 請求項3に記載の半導体装置であって、
    前記電圧制御情報は、更に、前記半導体装置のテスト時の条件下での前記モニタ遅延の期待値であるテスト遅延を示すテスト遅延情報を含み、
    通常動作の前の前記テスト時、前記遅延モニタ回路は前記モニタ遅延を計測し、前記被制御回路部あるいは前記電圧制御部は、前記モニタ遅延と前記テスト遅延情報で示される前記テスト遅延との差分だけ前記ターゲット遅延を補正し、
    前記通常動作時は前記補正後のターゲット遅延が用いられる
    半導体装置。
  5. 請求項4に記載の半導体装置であって、
    前記被制御回路部内に設けられる前記遅延モニタ回路の数は複数であり、
    前記複数の遅延モニタ回路は、前記被制御回路部内のそれぞれの位置で前記モニタ遅延を計測し、
    前記ターゲット遅延の補正は、前記複数の遅延モニタ回路に対して別々に行われる
    半導体装置。
  6. 請求項3乃至5のいずれか一項に記載の半導体装置であって、
    更に、電圧あるいはクロック周波数の異なる複数のモードのうち1つを指定するDVFS(Dynamic Voltage and Frequency Scaling)部を備え、
    前記電圧制御情報は、前記ターゲット遅延情報と前記ノミナル電圧情報の組み合わせを前記複数のモード毎に有しており、
    前記電圧制御部は、前記DVFS部によって指定された前記モードに対応した前記ターゲット遅延情報と前記ノミナル電圧情報の組み合わせを選択する
    半導体装置。
  7. 半導体装置の設計方法であって、
    前記半導体装置は、
    被制御回路部と、
    前記被制御回路部への供給電圧を動的に制御する電圧制御部と
    を備え、
    前記被制御回路部は、
    前記被制御回路部内で遅延を計測する遅延モニタ回路と、ここで、前記計測された遅延はモニタ遅延であり、
    前記モニタ遅延の目標値であるターゲット遅延を示すターゲット遅延情報が格納されるターゲット遅延レジスタと
    を備え、
    前記ターゲット遅延情報は、チップ間バラツキを除いた遅延バラツキを持つ遅延ライブラリから得られ、前記被制御回路部は、前記遅延ライブラリを用いて設計され、
    前記遅延モニタ回路は、前記モニタ遅延と前記ターゲット遅延情報で示される前記ターゲット遅延との比較を行い、前記比較の結果を示す比較結果信号を前記電圧制御部に送り、
    前記電圧制御部は、前記比較結果信号に基づき、前記モニタ遅延が前記ターゲット遅延により近づくように前記供給電圧を制御し、
    前記設計方法は、
    前記遅延ライブラリを作成するステップと、
    前記被制御回路部を含むチップの回路設計を行うステップと、
    前記遅延ライブラリを用いて、前記チップのタイミング解析を行うステップと、
    タイミング制約を満たす前記遅延ライブラリに基づいて、前記ターゲット遅延を決定するステップと
    を含む
    半導体装置の設計方法。
  8. 請求項7に記載の半導体装置の設計方法であって、
    前記遅延ライブラリを作成するステップは、前記電圧制御部による前記供給電圧の制御可能範囲内の複数種類の電圧のそれぞれに対して、複数種類の遅延ライブラリを作成するステップを含む
    半導体装置の設計方法。
  9. 請求項8に記載の半導体装置の設計方法であって、
    前記電圧制御部は、前記ターゲット遅延が得られる前記供給電圧の設計値であるノミナル電圧を示すノミナル電圧情報を受け取り、前記供給電圧を前記ノミナル電圧情報で示される前記ノミナル電圧に初期設定し、
    前記ターゲット遅延を決定するステップは、
    前記複数種類の遅延ライブラリのうち前記タイミング制約を満たすものを選択するステップと、
    前記選択された遅延ライブラリに基づいて、前記ターゲット遅延及び前記ノミナル電圧を決定するステップと
    を含む
    半導体装置の設計方法。
  10. 請求項9に記載の半導体装置の設計方法であって、
    前記選択するステップは、前記タイミング制約を満たす遅延ライブラリのうち最も低い電圧に対応したものを選択するステップを含む
    半導体装置の設計方法。
  11. 請求項9又は10に記載の半導体装置の設計方法であって、
    前記複数種類の遅延ライブラリのいずれも前記タイミング制約を満たさない場合、クロック周期に対する制約を変更した上で、前記回路設計をやり直すステップ
    を更に含む
    半導体装置の設計方法。
  12. 請求項7乃至11のいずれか一項に記載の半導体装置の設計方法であって、
    前記タイミング解析において設計マージンが考慮され、
    前記設計マージンは、前記被制御回路部に含まれる前記遅延モニタ回路の数が多くなるにつれ、より小さく設定される
    半導体装置の設計方法。
JP2009244943A 2009-10-23 2009-10-23 半導体装置、及び半導体装置の設計方法 Active JP5524568B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009244943A JP5524568B2 (ja) 2009-10-23 2009-10-23 半導体装置、及び半導体装置の設計方法
US12/926,001 US8341576B2 (en) 2009-10-23 2010-10-20 Semiconductor device and designing method of the same
US13/609,021 US8635568B2 (en) 2009-10-23 2012-09-10 Semiconductor device and designing method of the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009244943A JP5524568B2 (ja) 2009-10-23 2009-10-23 半導体装置、及び半導体装置の設計方法

Publications (2)

Publication Number Publication Date
JP2011091277A JP2011091277A (ja) 2011-05-06
JP5524568B2 true JP5524568B2 (ja) 2014-06-18

Family

ID=43897889

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009244943A Active JP5524568B2 (ja) 2009-10-23 2009-10-23 半導体装置、及び半導体装置の設計方法

Country Status (2)

Country Link
US (2) US8341576B2 (ja)
JP (1) JP5524568B2 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8924902B2 (en) * 2010-01-06 2014-12-30 Qualcomm Incorporated Methods and circuits for optimizing performance and power consumption in a design and circuit employing lower threshold voltage (LVT) devices
JP5890998B2 (ja) * 2011-10-17 2016-03-22 ルネサスエレクトロニクス株式会社 半導体装置および電源供給方法
US8984308B2 (en) * 2012-12-03 2015-03-17 Qualcomm Incorporated System and method of adaptive voltage scaling
US20140245028A1 (en) * 2013-02-22 2014-08-28 Qualcomm Incorporated System and method for temperature driven selection of voltage modes in a portable computing device
CN104063000B (zh) * 2013-03-22 2016-01-20 国民技术股份有限公司 一种配置低压差线性稳压器输出电流的系统及芯片
JP6381899B2 (ja) * 2013-12-05 2018-08-29 ルネサスエレクトロニクス株式会社 半導体装置の設計方法、設計支援プログラム、設計装置、及び、半導体装置
JP6377560B2 (ja) * 2015-03-24 2018-08-22 シャープ株式会社 情報処理装置、cpu印加電圧制御装置、情報処理装置の制御方法
US9612614B2 (en) 2015-07-31 2017-04-04 International Business Machines Corporation Pulse-drive resonant clock with on-the-fly mode change
US9634654B2 (en) 2015-08-07 2017-04-25 International Business Machines Corporation Sequenced pulse-width adjustment in a resonant clocking circuit
US9568548B1 (en) 2015-10-14 2017-02-14 International Business Machines Corporation Measurement of signal delays in microprocessor integrated circuits with sub-picosecond accuracy using frequency stepping
US9697310B2 (en) * 2015-11-02 2017-07-04 Winbond Electronics Corporation Level faults interception in integrated circuits
US9495497B1 (en) 2015-12-07 2016-11-15 International Business Machines Corporation Dynamic voltage frequency scaling
US10222850B2 (en) * 2016-10-06 2019-03-05 International Business Machines Corporation Voltage and frequency balancing at nominal point

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04119008A (ja) * 1990-09-10 1992-04-20 Seiko Epson Corp ディジタル遅延回路
FR2752312B1 (fr) * 1996-08-07 1998-10-30 Motorola Semiconducteurs Procede et circuit permettant d'ajuster dynamiquement la tension d'alimentation et, ou bien, la frequence du signal d'horloge dans un circuit numerique
JPH11195967A (ja) * 1997-12-26 1999-07-21 Nec Corp 半導体集積回路とその遅延時間の制御方法
JP2000216337A (ja) * 1999-01-20 2000-08-04 Sony Corp 電源電圧制御装置
JP4783976B2 (ja) * 1999-12-22 2011-09-28 ソニー株式会社 電圧供給回路及びその制御方法
EP1164454A4 (en) * 1999-12-22 2003-06-11 Sony Corp ELECTRICAL SUPPLY CIRCUIT AND METHOD FOR CONTROLLING THE SAME
JP3762856B2 (ja) 2000-05-30 2006-04-05 株式会社ルネサステクノロジ 半導体集積回路装置
US6967522B2 (en) 2001-04-17 2005-11-22 Massachusetts Institute Of Technology Adaptive power supply and substrate control for ultra low power digital processors using triple well control
JP2003330549A (ja) 2002-05-10 2003-11-21 Hitachi Ltd 半導体集積回路、電源回路及び情報記録媒体
US20050225376A1 (en) 2004-04-08 2005-10-13 Ati Technologies, Inc. Adaptive supply voltage body bias apparatus and method thereof
JP4367225B2 (ja) * 2004-05-11 2009-11-18 ソニー株式会社 半導体集積回路
JP5260837B2 (ja) 2006-03-24 2013-08-14 富士通セミコンダクター株式会社 半導体集積回路の設計方法及び半導体集積回路の設計装置
JP2008141013A (ja) 2006-12-01 2008-06-19 Matsushita Electric Ind Co Ltd 半導体装置
WO2010087817A1 (en) * 2009-01-27 2010-08-05 Agere Systems Inc. Critical-path circuit for performance monitoring

Also Published As

Publication number Publication date
US8635568B2 (en) 2014-01-21
JP2011091277A (ja) 2011-05-06
US20130002331A1 (en) 2013-01-03
US20110095802A1 (en) 2011-04-28
US8341576B2 (en) 2012-12-25

Similar Documents

Publication Publication Date Title
JP5524568B2 (ja) 半導体装置、及び半導体装置の設計方法
US8151125B1 (en) System and method for providing multi-point calibration of an adaptive voltage scaling system
US7681165B2 (en) Apparatus and methods for congestion estimation and optimization for computer-aided design software
US20050116765A1 (en) Semiconductor integrated circuit
US7934178B2 (en) Layout method of semiconductor circuit, program and design support system
KR20120003927A (ko) 적응형 전압 스케일링
US7962883B2 (en) Developing semiconductor circuit design with conditional flipflops to save power consumption
US7498870B2 (en) Adaptive voltage control for performance and energy optimization
EP3343317B1 (en) Semiconductor device
US8112734B2 (en) Optimization with adaptive body biasing
US7694266B1 (en) Method and apparatus for dynamic frequency voltage switching circuit synthesis
US8302063B2 (en) Method and system to optimize semiconductor products for power, performance, noise, and cost through use of variable power supply voltage compression
US8621415B2 (en) Obtaining power domain by clustering logical blocks based on activation timings
US7178116B2 (en) Method and device for designing semiconductor integrated circuit and logic design program
US8418118B2 (en) Architecture guided optimal system precision definition algorithm for custom integrated circuit
Golanbari et al. Selective flip-flop optimization for reliable digital circuit design
US8341563B1 (en) Method and apparatus for power gating stitching
JP5131370B2 (ja) 電源電圧調整装置および電源電圧調整方法
JP2010186320A (ja) 半導体集積回路の設計装置
US20050149887A1 (en) Design method and system for optimum performance in integrated circuits that use power management
JP4757108B2 (ja) 半導体集積回路及びその電力低減方法
JP2008011323A (ja) 動作速度検出装置
JP2008112817A (ja) 電源スイッチ挿入方法及び電源スイッチ挿入装置
JP2006244354A (ja) 半導体集積回路の最適化方法および最適化プログラム
JP2007323203A (ja) 半導体集積回路の設計装置および設計方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131017

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131018

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131212

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140327

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140410

R150 Certificate of patent or registration of utility model

Ref document number: 5524568

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350