IL158340A0 - High pressure processing chamber for semiconductor substrate including flow enhancing features - Google Patents

High pressure processing chamber for semiconductor substrate including flow enhancing features

Info

Publication number
IL158340A0
IL158340A0 IL15834002A IL15834002A IL158340A0 IL 158340 A0 IL158340 A0 IL 158340A0 IL 15834002 A IL15834002 A IL 15834002A IL 15834002 A IL15834002 A IL 15834002A IL 158340 A0 IL158340 A0 IL 158340A0
Authority
IL
Israel
Prior art keywords
semiconductor substrate
high pressure
processing chamber
substrate including
pressure processing
Prior art date
Application number
IL15834002A
Other languages
English (en)
Original Assignee
Supercritical Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Supercritical Systems Inc filed Critical Supercritical Systems Inc
Publication of IL158340A0 publication Critical patent/IL158340A0/xx

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
IL15834002A 2001-04-10 2002-04-10 High pressure processing chamber for semiconductor substrate including flow enhancing features IL158340A0 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28313201P 2001-04-10 2001-04-10
PCT/US2002/011461 WO2002084709A2 (en) 2001-04-10 2002-04-10 High pressure processing chamber for semiconductor substrate including flow enhancing features

Publications (1)

Publication Number Publication Date
IL158340A0 true IL158340A0 (en) 2004-05-12

Family

ID=23084672

Family Applications (1)

Application Number Title Priority Date Filing Date
IL15834002A IL158340A0 (en) 2001-04-10 2002-04-10 High pressure processing chamber for semiconductor substrate including flow enhancing features

Country Status (10)

Country Link
US (1) US20020189543A1 (xx)
EP (1) EP1573779A4 (xx)
JP (1) JP4047727B2 (xx)
KR (1) KR100777892B1 (xx)
CN (1) CN100392796C (xx)
AU (1) AU2002252637A1 (xx)
CA (1) CA2444296A1 (xx)
IL (1) IL158340A0 (xx)
TW (1) TW589657B (xx)
WO (1) WO2002084709A2 (xx)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
DE10255231B4 (de) * 2002-11-26 2006-02-02 Uhde High Pressure Technologies Gmbh Hochdruckvorrichtung zum Verschließen eines Druckbehälters im Reinraum
US7225820B2 (en) * 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
KR100794588B1 (ko) * 2006-08-10 2008-01-17 세메스 주식회사 매엽식 기판 처리 장치 및 방법
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101932035B1 (ko) * 2012-02-08 2018-12-26 삼성전자주식회사 기판 처리용 유체 공급 시스템 및 방법
CN105336723B (zh) 2014-07-28 2018-09-14 通用电气公司 半导体模块、半导体模块组件及半导体装置
KR101623411B1 (ko) 2014-11-03 2016-05-24 세메스 주식회사 기판 처리 장치
KR101910801B1 (ko) * 2016-10-26 2019-01-07 세메스 주식회사 기판 처리 장치 및 방법
KR102358561B1 (ko) 2017-06-08 2022-02-04 삼성전자주식회사 기판 처리 장치 및 집적회로 소자 제조 장치
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US493828A (en) * 1893-03-21 Churn-dasher
US341592A (en) * 1886-05-11 Eeubex a
US3642020A (en) * 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
US3890176A (en) * 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) * 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
JPS6117151A (ja) * 1984-07-03 1986-01-25 Minolta Camera Co Ltd プラズマcvd装置
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) * 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) * 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
JP2663483B2 (ja) * 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5071485A (en) * 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
CA2059841A1 (en) * 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5225173A (en) * 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
KR930019861A (ko) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5496901A (en) * 1992-03-27 1996-03-05 University Of North Carolina Method of making fluoropolymers
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5514220A (en) * 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
US5641887A (en) * 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
DE69523208T2 (de) * 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
US5482564A (en) * 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) * 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3404967B2 (ja) * 1995-03-09 2003-05-12 トヨタ自動車株式会社 絞り成形方法
US5681398A (en) * 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
KR19990077350A (ko) * 1996-02-29 1999-10-25 히가시 데쓰로 반도체웨이퍼의 열처리용 보트
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
JPH10144757A (ja) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd 基板処理システム
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
JPH10288158A (ja) * 1997-04-10 1998-10-27 Kobe Steel Ltd ピストン式ガス圧縮機及びガス圧縮設備
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
KR100452542B1 (ko) * 1998-04-14 2004-10-12 가부시끼가이샤가이죠 세정물 건조장치 및 건조방법
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
JP2000106358A (ja) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp 半導体製造装置および半導体基板の処理方法
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow

Also Published As

Publication number Publication date
KR100777892B1 (ko) 2007-11-21
EP1573779A2 (en) 2005-09-14
WO2002084709A2 (en) 2002-10-24
US20020189543A1 (en) 2002-12-19
EP1573779A4 (en) 2006-11-15
AU2002252637A1 (en) 2002-10-28
TW589657B (en) 2004-06-01
JP4047727B2 (ja) 2008-02-13
AU2002252637A8 (en) 2012-03-08
KR20040067871A (ko) 2004-07-30
CN100392796C (zh) 2008-06-04
JP2005504431A (ja) 2005-02-10
CN1630931A (zh) 2005-06-22
WO2002084709A3 (en) 2012-02-16
CA2444296A1 (en) 2002-10-24

Similar Documents

Publication Publication Date Title
AU2001290171A1 (en) High pressure processing chamber for semiconductor substrate
TWI317675B (en) Processing chamber for processing a semiconductor wafer during hign pressure processing
SG117412A1 (en) Semiconductor wafer dividing method
AU2002318913A1 (en) Showerhead electrode design for semiconductor processing reactor
AU2003280802A1 (en) Method for cleaning substrate processing chamber
AU2002256126A1 (en) Semiconductor back side processing
SG103345A1 (en) Pressure sensitive adhesive sheet for semiconductor wafer processing
AU2002311863A1 (en) Silicon fixtures useful for high temperature wafer processing
HK1094279A1 (en) Gallium nitride semiconductor substrate and process for producing the same
IL162190A0 (en) Semiconductor texturing process
ZA200309621B (en) Vacuum actuated flow valve.
AU2002338795A1 (en) Flow chamber
TW511649U (en) Wafer retainer
IL158340A0 (en) High pressure processing chamber for semiconductor substrate including flow enhancing features
SG116418A1 (en) Semiconductor wafer grinding method.
EP1414719A4 (en) THIN PLATE HOLDER
EP1501961A4 (en) HIGH PRESSURE PROCESSING CHAMBER FOR SEVERAL SEMICONDUCTOR SUBSTRATE
IL157598A0 (en) Semiconductor chip and production method for housing
EP1437762A4 (en) SEMICONDUCTOR WAFER COMPOSED IN NOTCH
GB0110088D0 (en) Semiconductor wafer handling method
SG135019A1 (en) Semiconductor wafer processing method
GB0103553D0 (en) Substrate treatment
AU2002333613A1 (en) Semiconductor wafer identification
AU2003228333A8 (en) Improved semiconductor etching process control
AU2003209984A8 (en) Integrated system for processing semiconductor wafers