FR2493601A1 - Procede de realisation d'un dispositif semiconducteur - Google Patents

Procede de realisation d'un dispositif semiconducteur Download PDF

Info

Publication number
FR2493601A1
FR2493601A1 FR8113547A FR8113547A FR2493601A1 FR 2493601 A1 FR2493601 A1 FR 2493601A1 FR 8113547 A FR8113547 A FR 8113547A FR 8113547 A FR8113547 A FR 8113547A FR 2493601 A1 FR2493601 A1 FR 2493601A1
Authority
FR
France
Prior art keywords
volume
compound
layer
plasma
si3n4
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR8113547A
Other languages
English (en)
Other versions
FR2493601B1 (fr
Inventor
Josef Alphons Marie Sanders
Franciscus Hubertus Ma Sanders
Hendrikus Kalter
Everhardus Petrus Gerardus Ven
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Philips Gloeilampenfabrieken NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Gloeilampenfabrieken NV filed Critical Philips Gloeilampenfabrieken NV
Publication of FR2493601A1 publication Critical patent/FR2493601A1/fr
Application granted granted Critical
Publication of FR2493601B1 publication Critical patent/FR2493601B1/fr
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Local Oxidation Of Silicon (AREA)
  • Element Separation (AREA)

Abstract

PROCEDE DE REALISATION D'UN DISPOSITIF SEMICONDUCTEUR, SUIVANT LEQUEL ON FAIT SUBIR A DES COUCHES DE NITRURE DE SILICIUM ET DE SILICE UNE ATTAQUE CHIMIQUE CONSISTANT A METTRE LES COUCHES EN CONTACT AVEC DES COMPOSANTS D'UN PLAMA QUI EST FORME DANS UN MELANGE GAZEUX CONTENANT UN COMPOSE FLUORIQUE ET UN COMPOSE D'OXYGENE. L'ADDITION D'UN MELANGE GAZEUX DE 1 A 15 EN VOLUME D'UN COMPOSE GAZEUX CONTENANT UN HALOGENE AUTRE QUE LE FLUOR PERMET D'ELIMINER DES COUCHES DE NITRURE DE SILICIUM AU MOINS CINQ FOIS PLUS VITE QUE DES COUCHES DE SILICE. CECI FAIT QUE DANS LA PRATIQUE, LE PROCEDE CONVIENT PAR EXEMPLE POUR LA FORMATION D'UN MASQUE DE NITRURE DE SILICIUM DANS LE CADRE DE LA REALISATION D'OXYDE DE CHAMP DANS DES PROCESSUS LOCOS. APPLICATION: FABRICATION DE SEMICONDUCTEURS.

Description

"Procédé de réalisation d'un dispositif semiconducteur."
L'invention concerne un procédé de réalisation d'un dis-
positif semiconducteur, suivant lequel on soumet une couche
de nitrure de silicium élaborée sur un substrat, à une atta-
que chimique consistant à mettre la couche en contact avec
des composants d'un plasma qui est formé dans un mélange ga--
zeux contenant un composé fluorique et un composé d'oxygène.
Dans ces conditions, on peut mettre la couche de nitrure de
silicium en contact seulement avec des composants électrique-
ment non chargés du plasma - comme par exemple dans les réac-
teurs à tunnel usuels - mais aussi avec un mélange de compo-
sants électriquement chargés et non chargés du plasma - comme
par exemple dans les réacteurs planaires usuels.
Un tel procédé convient particulièrement par exemple pour la fabrication d'un dispositif semiconducteur o l'on
part d'un substrat de silicium muni d'une couche de SiO2 re-
couverte d'une couche de Si3N4 et o on élimine le Si3 i4 loca-
lement sur la couche de SiO2. Le masque de Si3N4 ainsi formé peut être utilisé tant comme masque d'oxydation que comme masque d'implantation ionique. Au cours de la formation du
masque de Si3N4, la couche de Sio2 sert à éviter l'endommage-
ment du substrat de Si sous-jacent, qui risque d'être très fortement attaqué par les composants du plasma. Voilà pourquoi il y a lieu de choisir l'épaisseur de la couche de SiO2 de façon à obtenir une protection efficace du substrat. Dans la pratique, cette épaisseur est déterminée entre autres par le rapport des vitesses auxquelles il est possible d'éliminer le Si3N4 et le Si02. La couche de SiO2 peut être d'autant plus mince que la vitesse d'élimination du Si3N4 est supérieure à
celle du SiO2.
Le brevet américain NO 3 795 557 préconise un procédé du genre décrit dans le préambule, suivant lequel, pour attaquer la couche de nitrure de silicium, on met celle-ci en contact avec un mélange de composants électriquement chargés et non
chargés d'un plasma qui est formé dans un mélange gazeux con-
tenant Ou CF4 comme composé fluorique et 8,5 % en volume de
02 comme composé d'oxygène. Ce procédé connu permet d'élimi-
ner le Si 3N4 environ deux fois plus vite que le SiO2.
Un inconvénient du procédé connu décrit ci-dessus est
que la vitesse d'élimination du Si3N4 n'est que légèrement su-
périeure à celle du SiO2. Voilà pourquoi cette couche de SiO2 doit être relativement épaisse dans les cas o par la mise en oeuvre de ce procédé, il y a lieu de former par attaque chimique un masque dans une couche de Si3NI élaborée sur un
substrat de Si recouvert d'une couche protectrice de SiOu2 Ce-
ci fait que dans la pratique, le procédé connu ne convient pas par exemple pour la formation d'un masque d'oxydation de
Si3N4 lors de la réalisation d'oxyde de champ dans des pro-
cessus LOCOS.
L'invention vise entre autres à remédier audit inconvé-
nient, et à cet effet un procédé conforme à l'invention et du genre décrit dans le préambule est remarquable en ce que le mélange gazeux est additionné de 1 à 15 % en volume d'un
composé gazeux qui contient un halogène autre que le fluor.
L'addition d'une faible quantité d'un composé contenant un halogène autre que le fluor permet d'attaquer le Si3N4 au moins cinq fois plus vite que le SiO2. Voilà pourquoi cette couche de SiO2 peut être relativement mince dans les cas o par la mise en oeuvre du procédé conforme à l'invention, on forme par attaque chimique un masque dans une couche de Si 3N4 élaborée sur un substrat de Si muni d'une couche protectrice de SiO2. Ceci fait que dans la pratique, le procédé conforme à l'invention convient par exemple pour la formation d'un masque d'oxydation de Si3N4 lors de la réalisation d'oxyde de champ dans des processus LOCOS. On suppose que l'halogène autre que le fluor est adsorbé d'une manière sélective à la surface de SiO2 de façon à y former une couche de protection
contre les composants réactifs formés dans le plasma.
Dans un mode de réalisation préférentiel du procédé conforme à l'invention, le mélange gazeux o est formé le
plasma, contient du CF4 comme composé fluorique et est addi-
tionné du gaz non corrosif et non toxique CF2C12 Une addi-
tion de 1 à 4 % en volume de ce gaz au mélange gazeux per-
met d'obtenir la plus grande différence de vitesse d'at-
taque cu Si3N4 et du SiO2, notamment si l'on additionne 3 à 10 % en volume de 02 comme composé d'oxygène. Alors le Si3N4 s'élimine cinq fois plus vite que le SiO2.
Dans un autre mode de réalisation préférentiel du pro-
cédé conforme à l'invention, lb mélange gazeux o est formé le plasma contient du CF4 comme composé fluorique et est
additionné du gaz non corrosif et non toxique CF3Br. L'ad-
dition de 2 à 8 % en volume de ce gaz au mélange gazeux permet d'atteindre la plus grande différence de vitesses
d'attaque du Si3N4 et du SiO2, notamment si l'on addition-
ne 3 à 10 % en volume de 02 comme composé d'oxygène. Alors
le Si3N4 s'élimine environ dix fois plus vite que le SiO2.
Si le mélange gazeux o est formé-le plasma d'atta-
que, contient du CF4 comme composé fluorique, 20 à 40 % en volume de No comme composé d'oxygène et 1 à 3 % en volume de CF3Br, le Si3N4 s'élimine même à peu près douze fois
plus vite que le SiO2.
La description suivante, en regard du dessin annexé,
donné à titre d'exemple non limitatif, permettra de mieux
comprendre comment l'invention se réalise.
Les figures 1 à 4 représentent schématiquement diffé-
rentes étapes successives de réalisation d'un dispositif
semiconducteur, selon le procédé conforme à l'invention.
Les figures 5 et 6 représentent des vitesses auxquelles
des couches de Si3N4 et de SiO2 se trouvant sur un subs-
trat de Si sont éliminées à l'aide de composants de plas-
mas formés dans des mélanges de CF4/02 additionnés de
quantités variables de CF2Cl2 ou de CF3Br.
Les figures 1 à 4 représentent schématiquement dif-
férentes étapes successives de réalisation d'une configu-
ration d'oxyde de champ, pour laquelle on part d'un subs-
trat 1 de Si de type N muni d'une couche 2 de Sio2 d'une épaisseur de 20 à 100 nm, sur laquelle on a formé une
couche 3 de Si 3N4 d'une épaisseur de 100 à 150 nm. De ma-
nière usuelle, on recouvre la couche 3 de Si 3N4 locale-
ment d'une couche de laque 4, après quoi on soumet les
endroits non recouverts à une attaque chimique en les met-
tant en contact avec des composants d'un plasma qui est
formé dans un mélange gazeux contenant un composé fluori-
que et un composé d'oxygène. Conformément à l'invention, on ajoute au mélange gazeux 1 à 15 % en volume d'un composé
gazeux contenant un halogène autre que le fluor. Cela per-
met d'éliminer le Si3N4 au moins cinq fois plus vite que le SiO2, de sorte que la couche protectrice 2 de Sio2 peut être relativement mince. Ceci fait que dans la pratique, ce
procédé convient pour la formation d'un masque dans le ca-
dre de la réalisation d'oxyde de champ dans la couche 3 de Si3N4. Après élimination locale de la couche 3 de Si 3N4 et après élimination de la couche de laque 4, on peut procéder, par l'implantation usuelle B, à l'élaboration de régions 5 de type P qui peuvent servir de "zones d'interruption de canal" entre différents composants électroniques. Dans ces conditions, le masque formé dans la couche 3 de Si3N4 sert de masque d'implantation (figure 2). Ensuite, de manière usuelle, on forme par oxydation des régions 6 de SiO2 d'une épaisseur voisine de 1000 nm (figure 3). Ces régions 6 de
SiO2 - dites aussi régions d'oxyde de champ - servent con-
jointement avec les régions 5 de Si de type P sous-jacentes
à séparer les uns des autres différents composants électro-
niques à former sur le substrat 1 de Si. Lors de la réali-
sation des régions d'oxyde de champ 6, le masque formé
dans la couche 3 de Si3N4 sert de masque d'oxydation. Fina-
lement, on élimine de manière usuelle les parties restan-
tes de la couche 3 de Si 3N4 et de la couche 2 de SiO2 (fi-
gure 4). Sur les parties ainsi obtenues, c'est-à-dire les parties 7 du substrat 1 de Si qui sont enfermées par des régions d'oxyde de champ 6 et dont on n'a représenté qu'une seule pour la clarté du dessin, mais qui sur un
substrat, peuvent être élaborées en de très grandes quan-
tités - on peut réaliser maintenant des composants électro-
niques tels que des transistors à effet de champ.
Dans les exemples de réalisation décrits dans la suite
de cet expose, on a placé dans un réacteur d'attaque chimi-
que au plasma des plaques de Si d'un diamètre voisin de 75 mm qui étaient recouvertes d'environ 500 nm de Si3N4 déposé sur une couche intermédiaire d'environ 400 nm de Sf02, pour les mettre en contact, à une température de substrat voisine de 125 C, avec des composants non chargés d'un plasma engendré dans le réacteur à une fréquence de 13,56 MHz, pour une puissance de l'ordre de 150 Wattset un
débit gazeux de 100 à 300 SCC/mn.
EXEMPLE I
La figure 5 représente les vitesses auxquelles le
Si3N4 et le SiO2 sont éliminés par les composants d'un plas-
ma qui est formé dans un mélange gazeux ayant une pression totale voisine de 100 Pa et constitué par du CF4 et 5 % en
volume de 02 en fonction des quantités de CF2C12 addition-
nées à ce mélange gazeux. Sans addition de CF2Cl2, le Si3N4 s'élimine environ deux fois plus vite que le SiO2, alors que pour une faible addition de CF2Cl2 (1 à 4 % en volume),
ce rapport est de l'ordre de cinq. Toutefois, si l'on ad-
ditionne de plus grande quantités de CF2Cl2 ( X 5 % en vo-
lume), ce rapport diminue alors qu'en outre les vitesses d'attaque du Si3N4 diminuent à de faibles valeurs. On peut s'attendre à des résultats similaires, si au lieu de CF2Cl2, on additionne d'autres composés de Cl au mélange gazeux de CF4 et de 02, tels que le C12, le CC14, CFC13, HC1, etc.,
mais on donne la préférence au CF2Cl2 à cause de sa mania-
bilité et de sa faible corrosivité.
EXEMPLE II
La figure 6 représente les vitesses auxquelles le Si3N4 et le SiO2 sont éliminés par les composants d'un plasma qui est formé dans un mélange gazeux ayant une pression totale voisine de 65 Pa et constitué par du CF4 et 5 % en 3Y volume de 02 en fonction des quantités de CF3Br, adc'4ny,
environ deux fois plus vite que le i T, t À.
environ deux fois plus vite que le SiO2 alor que pour une faible addition de CF3Br (2 à 8 % de volume),
ce rapport est de l'ordre de dix. Toutefois si l'on addi-
tionne de plus grandes quantités de CF3Br (> 10 % en volu-
me), le rapport des vitesses d'attaque décroît, alors qu'en outre les vitesses d'attaque du Si3N4 diminuent à des va- leurs basses. On peut s'attendre à des résultats similaires, si au lieu de CF3Br, on additionne d'autres composés de Br au mélange de CF4 et de 02, tels que le CF2Br2, le HBr, Br2, BrF3, BrF5, etc., mais on donne la préférence au CF3Br à
cause de sa maniabilité, sa non-toxicité et sa faible cor-
rosivité.
EXEMPLE III
Il est possible de réaliser un autre perfectionnement par rapport à l'exemple II en additionnant au lieu de 02
du NO comme composé d'oxygène au mélange gazeux o est for-
mé le plasma. Dans des composants d'un plasma formé dans un mélange gazeux contenant 30 % en volume de NO, 7,5 % en
volume de CF3Br et 62,5 % en volume de CF4, le Si3N4 s'éli-
mine douze fois plus vite que le SiO2.

Claims (6)

REVENDICATIONS
1.- Procédé de réalisation d'un dispositif semiconduc-
teur, suivant lequel on soumet une couche de nitrure de si-
licium élaborée sur un substrat, à une attaque chimique consistant à mettre la couche en contact avec des compo- sants d'un plasma qui est formé dans un mélange gazeux contenant un composé fluorique et un composé d'oxygène, caractérisé en ce que le mélange gazeux est additionné de
1 à 15 % en volume d'un composant gazeux contenant un ha-
logène autre que le fluor.
2.- Procédé selon la revendication 1, caractérisé en ce que le mélange gazeux o est formé le plasma contient du CF4 comme composé fluorique et du CF2ci2comme composé
halogéné autre que le fluor.
3.- Procédé selon la revendication 1 ou 2, caractérisé
en ce que le mélange gazeux o est formé le plasma con-
tient 1 à 4 % en volume de CF2ci2* 4.- Procédé selon la revendication 1, caractérisé en ce que le mélange gazeux o est formé le plasma contient du CF4 comme composé fluorique et du CF3Br comme composé
halogéné autre que le fluor.
5.- Procédé selon la revendication 1 ou 4, caractérisé
en ce que le mélange gazeux o est formé le plasma con-
tient 2 à 8 % en volume de CF3Br.
6.- Procédé selon l'une quelconque des revendications
1 à 5, caractérisé en ce que le mélange gazeux o est for-
mé le plasma contient 3 à 10 % en volume de 2 comme composé d'oxygène.
7.- Procédé selon l'une quelconque des revendications
1 à 5, caractérisé en ce que le mélange gazeux o est for-
mé le plasma contient 20 à 40 % en volume de NO comme
composé d'oxygène.
FR8113547A 1980-07-11 1981-07-09 Procede de realisation d'un dispositif semiconducteur Granted FR2493601A1 (fr)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
NL8004005A NL8004005A (nl) 1980-07-11 1980-07-11 Werkwijze voor het vervaardigen van een halfgeleiderinrichting.

Publications (2)

Publication Number Publication Date
FR2493601A1 true FR2493601A1 (fr) 1982-05-07
FR2493601B1 FR2493601B1 (fr) 1985-02-15

Family

ID=19835608

Family Applications (1)

Application Number Title Priority Date Filing Date
FR8113547A Granted FR2493601A1 (fr) 1980-07-11 1981-07-09 Procede de realisation d'un dispositif semiconducteur

Country Status (8)

Country Link
US (1) US4374698A (fr)
JP (1) JPS5749235A (fr)
CA (1) CA1169587A (fr)
DE (1) DE3125054A1 (fr)
FR (1) FR2493601A1 (fr)
GB (1) GB2081159B (fr)
IE (1) IE52046B1 (fr)
NL (1) NL8004005A (fr)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4376672A (en) * 1981-10-26 1983-03-15 Applied Materials, Inc. Materials and methods for plasma etching of oxides and nitrides of silicon
US4569698A (en) * 1982-02-25 1986-02-11 Raytheon Company Method of forming isolated device regions by selective successive etching of composite masking layers and semiconductor material prior to ion implantation
NL8204437A (nl) * 1982-11-16 1984-06-18 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting met behulp van plasma-etsen.
GB8319716D0 (en) * 1983-07-21 1983-08-24 Secr Defence Reactive ion etching
US4515652A (en) * 1984-03-20 1985-05-07 Harris Corporation Plasma sculpturing with a non-planar sacrificial layer
IT1213230B (it) * 1984-10-23 1989-12-14 Ates Componenti Elettron Processo planox a becco ridotto per la formazione di componenti elettronici integrati.
JPS61123142A (ja) * 1984-11-20 1986-06-11 Matsushita Electric Ind Co Ltd ドライエツチング方法
DE3714144C2 (de) * 1986-05-31 1994-08-25 Toshiba Kawasaki Kk Verfahren zum chemischen Trockenätzen
US4726879A (en) * 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces
US4700461A (en) * 1986-09-29 1987-10-20 Massachusetts Institute Of Technology Process for making junction field-effect transistors
JPH0793291B2 (ja) * 1986-12-19 1995-10-09 アプライド マテリアルズインコーポレーテッド シリコンおよび珪化物のための臭素およびヨウ素エッチング方法
US4923562A (en) * 1987-07-16 1990-05-08 Texas Instruments Incorporated Processing of etching refractory metals
US4787957A (en) * 1987-09-25 1988-11-29 Air Products And Chemicals, Inc. Desmear and etchback using NF3 /O2 gas mixtures
JP2597606B2 (ja) * 1987-10-27 1997-04-09 株式会社東芝 シリコン窒化膜のドライエッチング方法
US4836886A (en) * 1987-11-23 1989-06-06 International Business Machines Corporation Binary chlorofluorocarbon chemistry for plasma etching
US4836887A (en) * 1987-11-23 1989-06-06 International Business Machines Corporation Chlorofluorocarbon additives for enhancing etch rates in fluorinated halocarbon/oxidant plasmas
US4832787A (en) * 1988-02-19 1989-05-23 International Business Machines Corporation Gas mixture and method for anisotropic selective etch of nitride
US4855015A (en) * 1988-04-29 1989-08-08 Texas Instruments Incorporated Dry etch process for selectively etching non-homogeneous material bilayers
US5188704A (en) * 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
EP0424299A3 (en) * 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US5560804A (en) * 1991-03-19 1996-10-01 Tokyo Electron Limited Etching method for silicon containing layer
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5217567A (en) * 1992-02-27 1993-06-08 International Business Machines Corporation Selective etching process for boron nitride films
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
DE4232475C2 (de) * 1992-09-28 1998-07-02 Siemens Ag Verfahren zum plasmachemischen Trockenätzen von Si¶3¶N¶4¶-Schichten hochselektiv zu SiO¶2¶-Schichten
SE501079C2 (sv) * 1993-04-16 1994-11-07 Asea Brown Boveri Metod för etsning av kiselområden på isolerande substrat
US5437765A (en) * 1994-04-29 1995-08-01 Texas Instruments Incorporated Semiconductor processing
JPH07331460A (ja) * 1994-06-02 1995-12-19 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング方法
US5854136A (en) * 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
US5922622A (en) * 1996-09-03 1999-07-13 Vanguard International Semiconductor Corporation Pattern formation of silicon nitride
US5728614A (en) * 1996-09-25 1998-03-17 Vanguard International Semiconductor Corporation Method to improve the topography of a field oxide region
US5861065A (en) * 1997-01-21 1999-01-19 Air Products And Chemicals, Inc. Nitrogen trifluoride-oxygen thermal cleaning process
US5868852A (en) * 1997-02-18 1999-02-09 Air Products And Chemicals, Inc. Partial clean fluorine thermal cleaning process
JP3336975B2 (ja) * 1998-03-27 2002-10-21 日本電気株式会社 基板処理方法
TW424278B (en) * 1999-07-30 2001-03-01 Mosel Vitelic Inc Method for etching protection layer and anti-reflective layer on a substrate
EP1083592A1 (fr) * 1999-09-10 2001-03-14 Interuniversitair Microelektronica Centrum Vzw Attaque chimique du nitrure de silicium par un gaz halogène anhydre
DE102006051490B4 (de) * 2006-10-31 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer Passivierungsschicht ohne ein Abschlussmetall
US20080142039A1 (en) * 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
US7803639B2 (en) * 2007-01-04 2010-09-28 International Business Machines Corporation Method of forming vertical contacts in integrated circuits
US8563225B2 (en) 2008-05-23 2013-10-22 International Business Machines Corporation Forming a self-aligned hard mask for contact to a tunnel junction
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5537324B2 (ja) * 2010-08-05 2014-07-02 株式会社東芝 半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
CN110546743B (zh) * 2017-06-08 2023-03-24 昭和电工株式会社 蚀刻方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880684A (en) * 1973-08-03 1975-04-29 Mitsubishi Electric Corp Process for preparing semiconductor
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
GB2026396A (en) * 1978-07-31 1980-02-06 Western Electric Co Dry etching process using plasma

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3867216A (en) * 1972-05-12 1975-02-18 Adir Jacob Process and material for manufacturing semiconductor devices
US3984301A (en) * 1973-08-11 1976-10-05 Nippon Electric Varian, Ltd. Sputter-etching method employing fluorohalogenohydrocarbon etching gas and a planar electrode for a glow discharge
DE2658448C3 (de) * 1976-12-23 1979-09-20 Deutsche Itt Industries Gmbh, 7800 Freiburg Verfahren zum Ätzen einer auf einem Halbleiterkörper aufgebrachten Schicht aus Siliciumnitrid in einem Gasplasma
JPS5444478A (en) * 1977-09-14 1979-04-07 Matsushita Electric Ind Co Ltd Manufacture for semiconductor device
JPS5477068A (en) * 1977-12-02 1979-06-20 Hitachi Ltd Pattern forming method
US4226665A (en) * 1978-07-31 1980-10-07 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
JPS5673438A (en) * 1979-11-21 1981-06-18 Hitachi Ltd Dryetching monitoring method for nitriding silicon

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880684A (en) * 1973-08-03 1975-04-29 Mitsubishi Electric Corp Process for preparing semiconductor
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
GB2026396A (en) * 1978-07-31 1980-02-06 Western Electric Co Dry etching process using plasma

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
APPLIED PHYSICS LETTERS, vol. 36, no. 9, 1 mai 1980, pages 768-770, New York (USA); *
JOURNAL OF APPLIED PHYSICS, vol. 49, no. 10, octobre 1978, pages 5165-5170, New York (USA); *
JOURNAL OF THE ELECTROCHEMICAL SOCIETY, vol. 127, no. 2, février 1980, pages 514-515, Princeton (USA); *

Also Published As

Publication number Publication date
US4374698A (en) 1983-02-22
GB2081159B (en) 1984-08-15
DE3125054A1 (de) 1982-03-18
IE52046B1 (en) 1987-05-27
GB2081159A (en) 1982-02-17
NL8004005A (nl) 1982-02-01
DE3125054C2 (fr) 1989-01-26
IE811531L (en) 1982-01-11
FR2493601B1 (fr) 1985-02-15
JPH0345532B2 (fr) 1991-07-11
CA1169587A (fr) 1984-06-19
JPS5749235A (en) 1982-03-23

Similar Documents

Publication Publication Date Title
FR2493601A1 (fr) Procede de realisation d&#39;un dispositif semiconducteur
US6682659B1 (en) Method for forming corrosion inhibited conductor layer
US7989324B2 (en) Method for manufacturing silicon on sapphire wafer
EP0780889B1 (fr) Procédé de depôt sélectif d&#39;un siliciure de métal réfractaire sur du silicium
EP3072149A1 (fr) Procédé de gravure sélective d&#39;un masque disposé sur un substrat silicié
JP2000236021A (ja) 半導体装置のコンタクトホール埋め込み方法
US6835668B2 (en) Copper post-etch cleaning process
EP3174092B1 (fr) Procédé de formation des espaceurs d&#39;une grille d&#39;un transistor
FR2497403A1 (fr) Procede de formation de reseaux extremement fins en particulier pour la fabrication de transistors
FR2646964A1 (fr) Dispositif a semi-conducteurs et procede de fabrication
US6692580B2 (en) Method of cleaning a dual damascene structure
US20050215064A1 (en) Methods of cleaning surfaces of copper-containing materials, and methods of forming openings to copper-containing substrates
US6130167A (en) Method of preventing corrosion of a metal structure exposed in a non-fully landed via
FR2568058A1 (fr) Procede pour la fabrication de transistors a effet de champ a grille isolee (igfet) a vitesse de reponse elevee dans des circuits integres de haute densite
US5227341A (en) Method of manufacturing a semiconductor device using an isopropyl alcohol ashing step
FR2486715A1 (fr) Procede de realisation d&#39;un dispositif semi-conducteur
FR2486716A1 (fr) Procede de realisation d&#39;un dispositif semi-conducteur
US7795151B2 (en) Methods of forming a trench having side surfaces including a uniform slope
US7538025B2 (en) Dual damascene process flow for porous low-k materials
JPH07230993A (ja) 半導体装置の配線の形成方法
US5877073A (en) Modified poly-buffered locos forming technology avoiding the positive charge trapping at the beak of field oxide
JPH10223605A (ja) エッチング方法
JP3204041B2 (ja) 絶縁膜の形成方法
JPH0432228A (ja) ドライエッチング方法およびこれを用いた半導体装置の製造方法
EP4053884B1 (fr) Procédé de gravure d&#39;une couche diélectrique tridimensionnelle

Legal Events

Date Code Title Description
CD Change of name or company name
CD Change of name or company name
ST Notification of lapse