EP0692140A1 - Verfahren zur photolack-entfernung, passivierung und korrosionsinhibierung von halbleitenden scheiben - Google Patents

Verfahren zur photolack-entfernung, passivierung und korrosionsinhibierung von halbleitenden scheiben

Info

Publication number
EP0692140A1
EP0692140A1 EP95908706A EP95908706A EP0692140A1 EP 0692140 A1 EP0692140 A1 EP 0692140A1 EP 95908706 A EP95908706 A EP 95908706A EP 95908706 A EP95908706 A EP 95908706A EP 0692140 A1 EP0692140 A1 EP 0692140A1
Authority
EP
European Patent Office
Prior art keywords
substrate
passivating
stripping
vacuum chamber
amine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP95908706A
Other languages
English (en)
French (fr)
Inventor
Jian Chen
Peter Hsieh
Carmel Ish-Shalom
Wesley Lau
James S. Papanu
Steve S. Y. Mak
Charles Steven Rhoades
Brian 1 Lane Sp.5 SHIEH
Scott Ian Latchford
Karen A. Williams
Victoria Yu-Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/268,377 external-priority patent/US5545289A/en
Priority claimed from US08/369,237 external-priority patent/US5631803A/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of EP0692140A1 publication Critical patent/EP0692140A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Definitions

  • electrically conductive features are formed on semiconductor substrates by depositing a layer comprising a metal on the substrate, forming a resist composed of polymer or oxide on the layer, and etching the exposed portions of the layer.
  • halogen-containing etchants e.g., Cl 2 , BC1 3 , CC1 4 , SiCl 4 , CF 4 , NF 3 , SF 6 and mixtures thereof, as described for example in Silicon Processing for the VLSI Era. Vol.
  • the etched substrate is contaminated by etchant residues and byproducts.
  • etchant residues and byproducts These contaminants, particularly in conjunction with ambient moisture, can corrode the conductive features, especially when the features are composed of alloys which can galvanic couple, such as Al-Cu or Ti-W.
  • the contaminant byproducts are, for example, produced by reaction between residual halogen from the etchant, metal in the conductive features and/or polymeric resist material. They may be in the form of sidewall deposits formed by condensation of reaction byproducts on sides of the conductive features.
  • remnant resist which is not etched by the etchant gases can remain on the substrate. It is known to treat the etched substrate to reduce the adverse effects of such contaminants and to remove the remnant resist on the substrate.
  • the substrate treatments can include (i) removing the remnant resist (usually referred to as stripping), (ii) removing or changing the contaminant (usually referred to as passivation, for example, through exposure to a CF 4 plasma), and/or (iii) forming a protective layer over part or all of the conductive feature (usually referred to as inhibition, for example through exposure to a CHF 3 plasma).
  • stripping, passivation and inhibition treatments require excessively long treatment times and/or require the use of materials or equipment which are expensive, difficult or dangerous to use.
  • known passivation and stripping methods can become ineffective and allow corrosion of the substrate too quickly, e g., in 1 to 5 hours. It is generally important to prevent corrosion of the etched features on the substrate for at least until the next step in the processing of the substrate (typically a stripping treatment which removes the resist and/or at least some of the contaminants). If the treatment which prevents corrosion is effective for only a short time, this places serious constraints on the timing of the production process and can result in the loss of an entire batch of wafers if there is an unexpected delay in production caused for example by an equipment failure.
  • an improved process for treating an etched substrate to reduce the adverse effect of etchant residues and byproducts is exposed, while under vacuum, to a plasma formed from a process gas comprising water vapor, oxygen, and nitrogen.
  • a plasma formed from a process gas comprising water vapor, oxygen, and nitrogen.
  • the ratio by volume of (i) water vapor to (ii) oxygen and nitrogen together is either:
  • the process is carried out in a vacuum chamber at a pressure of about 1 to about 10 Torr, and at a temperature of about 150° to about 400°C.
  • the time for which the substrate is exposed to the plasma is generally about 10 to about 240 seconds, preferably about 20 to about 60 seconds. Generally, the longer the treatment time, the longer the period for which corrosion is prevented.
  • a second improved process for treating an etched substrate to reduce the adverse effect of etchant residues and byproducts which comprises exposing the substrate under vacuum, to an alkylamine of the formula:
  • R 3 wherein R 3 is an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, e.g., methyl, ethyl, or propyl; and each of R 2 and R 3 , which may be the same or different, is a hydrogen atom or an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, e.g., methyl, ethyl, or propyl.
  • the vapor pressure of the amine in the vacuum chamber is preferably such that part of the amine is present in gaseous form and part of the amine is adsorbed on the substrate.
  • Figure la is a schematic view in vertical cross-section of a substrate with etched metal-containing features, showing etchant byproducts, remnant resist, and sidewall deposits thereon;
  • Figure lb is a schematic view in vertical cross-section of the substrate of Figure la after passivating and stripping showing removal of the etchant byproducts and remnant resist;
  • Figure lc is a schematic view in vertical cross-section of the substrate of Figure lb after removal of the sidewall deposits
  • Figure Id is a schematic view in vertical cross-section of the substrate of Figure lc, after inhibition of the substrate with amines, showing amines adsorbed on the substrate
  • Figure 2 is a schematic view in vertical cross-section of a vacuum chamber suitable for practicing the process of the present invention.
  • the process of the present invention is performed on an etched substrate 20 typically comprising a semiconducting material, such as silicon or gallium arsenide.
  • the conductive features 22 on the substrate 20 preferably comprise a metal layer, for example, aluminum, an aluminum alloy such as an Al-Cu alloy, copper, and optionally silicon, and may also include a diffusion barrier layer comprising for example Ti, W, a Ti-W alloy, or TiN, and/or an antireflective layer comprising for example Si, TiN, or a Ti-W alloy.
  • the substrate 20 has etched conductive features 22 with (i) etchant byproducts 24, (ii) remnant resist 26, and (iii) sidewall deposits 27 on the sidewalls of the features 22, as schematically shown in Figure la.
  • the etchant byproducts 24 on the features 22 typically comprise residual halogen containing radicals and compounds that are formed during etching of the substrate 20.
  • the remnant resist 26 are those portions of the resist that remain on the substrate after etching.
  • the sidewall deposits 27 on the features 22 typically comprise organic compounds containing (i) carbon and hydrogen, (ii) metal from the metal-containing layers, such as aluminum, and (iii) etchant gas species such as boron and nitrogen.
  • the apparatus 50 comprises an etch chamber (not shown) connected by a load-lock transfer area maintained in vacuum (also not shown) to a vacuum chamber 52 having a plasma generation zone 54 and a vacuum zone
  • Process gases enter the vacuum chamber 52 through a gas inlet 60, and are uniformly distributed in the vacuum zone 56 by a "showerhead" type diffuser 62.
  • a substrate support 64 which can comprise a “basket” hoop support (as shown), or a pedestal (not shown), is provided for holding the substrate 20 in the vacuum chamber, and a focus ring 70 maintains the process gas flow around the substrate 20.
  • a heat source such as infrared lamps 72, can be used to heat the substrate 20. Gaseous byproducts and spent process gas are exhausted from the vacuum chamber 52 through an exhaust 74 via an exhaust system (not shown) capable of maintaining a pressure of at least about 1 mTorr in the vacuum chamber 52.
  • a microwave plasma generator assembly 80 connected to the plasma generation zone 54 of the apparatus 50 can be used to generate a plasma from the process gas.
  • a suitable microwave generator assembly 80 is an "ASTEX" Microwave Plasma Generator commercially available from the Applied Science & Technology, Inc., Woburn, Massachusetts.
  • the microwave generator assembly 80 comprises a microwave applicator 82, a microwave tuning assembly 84, and a magnetron microwave generator 86.
  • Alternative plasma generating sources, such as RF-generated plasmas and inductive coupled plasmas are also effective.
  • an etched substrate 20 is transferred to the vacuum chamber 52 which is maintained at suitable temperature and pressure.
  • An improved process for treating the etched substrate to reduce the adverse effect of etchant residues and byproducts on the substrate comprises exposing the substrate while under vacuum, to a plasma formed from a process gas comprising water vapor, oxygen, and nitrogen.
  • the ratio by volume of the (i) water vapor to (ii) oxygen and nitrogen together being either:
  • the process gas can have primarily a passivating function, or primarily a stripping function.
  • ratio by volume of V H20 :(V o2 + V ⁇ ) is from about 1:2 to about 2:1, preferably 0.8:1 to 1:0.8, and especially about 1:1, the process gas functions primarily as a passivating gas; and a separate resist stripping step is used to remove the resist on the substrate.
  • the process gas functions primarily as a stripping gas and a separate passivation step can be used to passivate the substrate.
  • the water vapor content should be less than about 20% by volume of the combined oxygen and nitrogen gas content to provide adequate stripping rates.
  • the volumetric flow of oxygen to nitrogen is preferably from about 1: 1 to about 50:1, and more preferably from 1:1 to 20:1, and especially about 10:1.
  • the process is carried out in the vacuum chamber at a pressure of about 1 to about 10 Torr, and at a temperature of about 150° to about 400°C.
  • the time for which the substrate is exposed to the plasma is generally about 10 to about 240 seconds, preferably 20 to 60 seconds. Generally, the longer the treatment time, the longer the period for which corrosion is prevented.
  • the water vapor used in the process can be formed in a boiler or bubbler 100 containing water which is connected to the vacuum chamber 52 by the feedline 102.
  • the boiler or bubbler is maintained at a sufficiently high temperature and at a sufficiently low pressure to vaporize the water.
  • the pressure in the boiler ranges from about 50 Torr to about 200 Torr, and more typically ranges from 100 Torr to 150
  • an inert carrier gas such as argon or helium can be passed through the bubbler to transport water vapor to the vacuum chamber 52.
  • the process gas comprising water vapor, oxygen, and nitrogen is used in a multicycle process comprising (i) multiple passivating steps, or (ii) multiple passivating and resist stripping steps.
  • the multicycle passivation process has at least two passivating cycles, and is desirable when there are only etchant byproducts on the substrate, or when it is desirable to strip the substrate in a separate stripping step.
  • passivating gas of the composition described above is introduced into the chamber 52 and plasma is generated from the passivating gas.
  • the plasma activated passivating gas reacts with the etchant byproducts 24 on the substrate 20 to form gaseous byproducts which are exhausted from the vacuum chamber. Thereafter, the flow of passivating gas is stopped, and the plasma in the chamber is extinguished.
  • passivating gas is again introduced into the chamber, and plasma is again generated in the chamber.
  • a multicycle process comprising both stripping and passivating steps so that remnant resist and etchant byproducts can be simultaneously removed from the substrate.
  • passivating gas of the compositions described above is introduced into the chamber 52 and plasma is generated from the passivating gas to passivate the substrate 20.
  • stripping gas is introduced into the chamber, and a plasma is generated from the stripping gas to strip the polymeric resist or oxide hard mask on the substrate 20.
  • the stripping and passivating steps are repeated at least once, preferably in the same order that steps were originally done to yield the multicycle process.
  • the passivating step can be performed before the stripping step, or vice versa.
  • a stabilization step is performed between each process step, during which the process conditions for the successive step are stabilized.
  • the number of cycles is preferably from about 1 to about 10 cycles, and more preferably from about 2 to about 5 cycles.
  • each passivating step or optional stripping step of the multicycle process has a duration ranging from about 1 to about 60 seconds, more preferably from 2 to
  • the passivating step within the same cycle has typically the same duration as the stripping step within each cycle, however, these steps can also have different durations.
  • Alternate passivating gases for use in the multicycle process include any gas capable of reacting with the etchant byproducts 24 on the substrate 20 to form gaseous byproducts which can be exhausted from the vacuum chamber 52.
  • the etchant byproducts 24 comprise chlorine
  • the passivating gas can comprise a hydrogen- containing gas which reacts with the chlorine to form etchant byproducts such as hydrochloric acid vapor, which is exhausted from the chamber 52.
  • Suitable passivating gases include (i) ammonia and oxygen, or (ii) water vapor, with optional oxygen and nitrogen, can be used in this step.
  • the passivating gas comprises ammonia and oxygen
  • the volumetric flow ratio of ammonia to oxygen is preferably from about 1:1 to about 1:20, more preferably from about 1:5 to about 1:15, and most preferably about 1:10.
  • a preferred gas flow comprises 300 seem NH 3 and 3000 seem O 2 .
  • a two-cycle process comprising 10 second passivation and 10 second strip steps provided an optimal combination of high corrosion resistance and high process throughput efficiency.
  • a passivating gas comprising only water vapor can also be used to passivate the etchant byproducts 24.
  • the water vapor flow rate is preferably from about 100 to 1000 seem, and more preferably about 500 seem.
  • optimal corrosion results were achieved using a three-cycle multicycle process comprising passivation and stripping steps having a duration of 20 seconds each.
  • a suitable stripping gas to strip polymeric resin in the multicycle stripping and passivating process comprises (i) oxygen, and (ii) an oxygen activating gas or vapor, such as water vapor, nitrogen gas, or fluorocarbon gas, the fluorocarbon gases including
  • a preferred stripping gas composition comprises oxygen and nitrogen in a volumetric flow ratio of about 6:1 to about 200: 1, and more preferably from 10: 1 to 12: 1, for example, a suitable gas flow rate for a 5-liter vacuum chamber 52 comprises 3000 to 3500 seem of O 2 and 300 seem of N 2 .
  • Stripping gases suitable for stripping oxide hard mask include halogen containing gases, for example CF 4 , C 2 F 6 , CHF 3 , C 3 H 2 F 6 , C 2 H 4 F 2 and HF.
  • halogen gases such as BC1 3 , CC1 4 , or SiCl 4
  • BC1 3 , CC1 4 , or SiCl 4 can also be used to facilitate removal of sidewall deposits, however, when chlorine containing gases are used, the oxide stripping process should be performed in the etching chamber (not shown) of the apparatus 50, to prevent contamination of the passivation chamber with chlorine gas.
  • a subsequent process step is used to deposit a dielectric or insulative layer on the substrate.
  • the pressure and temperature of the vacuum chamber 52 can be varied between successive passivating or stripping steps, or varied between successive cycles, or maintained substantially constant.
  • the vacuum chamber 52 is maintained at a pressure ranging from about 1 to about 100 Torr, more preferably from 1 to 10 Torr, and most preferably at 2 Torr.
  • the pressure in the chamber 52 is reduced to a second lower pressure of less than about 1 Torr, more typically less than 500 mTorr, and most typically less than about 100 mTorr.
  • the substrate 20 is heated using the lamp heaters 72 to a temperature of from about 150°C to about 400°C, and more preferably from 200°C to 380°C.
  • the change in pressure and temperature between successive steps is minimized to increase process throughput efficiency.
  • a plasma is formed from the stripping or passivating gas using the microwave plasma generator 80 of the apparatus 50.
  • the power level of the microwave generator 80 is regulated so that the temperature of the substrate remains substantially constant.
  • the power output of the microwave generator 80 ranges from 500 to 2500 Watts, and more preferably from 800 to 1500 Watts.
  • the multicycle passivation process can provide faster process throughput and superior corrosion resistance than prior art processes.
  • the ability to achieve corrosion resistance equivalent or superior to that obtained using single cycle processes, in a shorter duration process, is an unexpected commercial advantage of the multicycle process. It is believed the multicycle passivating process can provide fast throughput because it allows faster etchant byproduct removal because of faster diffusion mechanisms.
  • etchant byproducts 24 are removed from the surface of the substrate 20. Thereafter, passivating species must diffuse into the remnant resist 24, and sidewall deposition 27 to react with the etchant byproducts 24 therein, and the reaction products must diffuse out of the resist or sidewall, and thereafter desorb.
  • the flow of passivating gas is stopped in multicycle passivation process more rapid desorption of passivating byproducts should occur.
  • the sequential depletion and diffusion process allows faster and more effective passivation of the etchant byproducts 24.
  • the multicycle passivating and stripping processes have demonstrated faster throughput, and superior corrosion resistance than prior art processes. It is believed the stripping steps remove portions of the remnant resist 26 and sidewall deposits 27 thereby making the remnant resist 26 and the sidewall deposits 27 thinner and more porous, and enhancing both diffusion of passivating species into the remnant resist 26, and diffusion of reaction products out of the resist 26.
  • the multicycle process provides a substrate that is resistant to corrosion by ambient moisture for at least 24 hours, and more typically at least 48 hours, after passivation of the substrate. This is a substantial improvement over prior art processes, which typically provide corrosion resistance for only about 1 to 2 hours. The improved corrosion resistance allows more efficient processing schedules and reduces manufacturing losses.
  • an improved process for treating an etched substrate to reduce the adverse effect of etchant residues and byproducts comprises exposing the substrate under vacuum to an alkylamine of the formula:
  • R 3 wherein R 3 is an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, e.g., methyl, ethyl, or propyl; and each of R 2 and R 3 , which may be the same or different, is a hydrogen atom or an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, e.g., methyl, ethyl, or propyl.
  • the vapor pressure of the amine in the vacuum chamber is preferably such that part of the amine is present in gaseous form and part of the amine is adsorbed on the substrate.
  • the amine forms a passivating layer adsorbed on the surface of the features that serves to inhibit corrosion of the features.
  • the amine inhibition layer is useful for features 22 containing metal alloys that exhibit high galvanic coupling activity, to prevent corrosion of these metals.
  • amine vapor is introduced into the vacuum zone 56 of the vacuum chamber 52 for a sufficient time to adsorb sufficient amine on the substrate 20 to inhibit corrosion of the substrate 20 for at least about 24 hours when the substrate 20 is exposed to the atmosphere.
  • the substrate 20 undergoes additional processing steps which eliminate the necessity for inhibiting corrosion of the substrate 20.
  • the amine is exposed to the substrate 20 for less than about 120 seconds, more preferably for less than about 90 seconds, and most preferably for less than about 60 seconds.
  • the vacuum zone 56 is preferably maintained at a pressure ranging from about 1 Torr to about 100 Torr, and more preferably ranging from about 1 Torr to about 10 Torr.
  • the vapor pressure of the amine should be sufficiently high that at least a portion of the amine is gaseous in the vacuum chamber 52 and sufficiently low that at least a portion of the amine is adsorbed onto the substrate 20 in the vacuum chamber 52.
  • the alkyl moieties of the amine preferably comprise alkyls, such as methyl, ethyl and propyl, and each alkyl preferably comprising from 1 to 5 carbon atoms.
  • Suitable amines include mono-alkyl, di-alkyl and tri-alkyl substituted methylamines, ethylamines, propylamines, such as monomethylamine, dimethylamine and trimethylamine, because these amines have low boiling points and are readily commercially available.
  • amines which can be used include trimethylamine (BP 2.9°C), which is preferred, diethylamine (BP 7.4°C), and monomethylamine (BP -6.3°C).
  • the amine comprises at least two alkyl moieties, and more preferably three alkyl moieties.
  • trimethylamine is preferred because it is believed that the tertiary (trialkyl) amines are more effective inhibitors than the secondary (dialkyl) amines, which in turn, are more effective inhibitors than the primary (monoalkyl) amines.
  • the toxicity and commercial availability of the amines may also control selection of an appropriate amine.
  • the amine vapor is formed from an amine source 104, comprising an amine gas or amine liquid.
  • a liquid amine source 104 comprises a boiler or bubbler fluidly connected to the vacuum chamber 52 by the feed line 102, and maintained at a sufficiently high temperature and sufficiently low pressure to vaporize at least a portion of the liquid amine.
  • the boiler or bubbler is maintained at room temperature.
  • the pressure in the boiler is preferably from about 50 Torr to about 200 Torr, and more preferably from 100 Torr to 150 Torr.
  • the boiler is maintained at a temperature substantially equal to the boiling point of the liquid amine to form amine vapor.
  • a carrier gas such as argon or helium, bubbled through the bubbler transports the amine vapor to the vacuum chamber 52.
  • the passivated and stripped substrate 20 is removed from the chamber and etched in an etchant solution to remove the sidewall deposit 27 that forms during the etch process.
  • wet chemical etchant solutions are suitable.
  • the wafers were chemically etched either in an "ACT" 900 series amine-based liquid etchant, commercially available from Advanced Chemical Technologies, Allentown, New Jersey, or in a HF- containing wet chemical etchant. After wet etching, the wafer was rinsed in deionized water to remove residual wet etchant.
  • 5000" apparatus had an etching chamber (not shown) connected to the passivating and stripping chamber (as shown), so that the substrate can be transferred from the etching chamber to the passivating and stripping chamber without exposure to the atmosphere.
  • the etch chamber of the apparatus also comprised an inductive coil encircling the chamber for optionally generating a magnetic field to enhance the intensity of the plasma in the chamber.
  • the experiments were performed on silicon wafers having a diameter of about 200 mm (8 inches) and a thickness of 0.73 mm.
  • the corrosion resistance of the substrates was tested by exposing the processed substrates to atmosphere or exposing the substrate to elevated moisture levels ranging up to about 40% relative humidity for set intervals of time, and thereafter examining the substrates in a microscope under dark field conditions, or in a scanning electron microscope. Corrosion of the substrate was visible as specks of scattered light caused by the corroded hydroscopic species formed on the metal features. The corrosion was measured either directly after the passivating and stripping steps, at intervals of 2 hours to 7 days; or alternatively, after a wet etching step was performed and at intervals of 1, 2, and 3 weeks.
  • either single or multicycle passivating and strip processes were performed on substrates having features comprising (i) TiW barrier layer; (ii) an aluminum-silicon-copper conductive metal layer approximately 550 nm thick, the aluminum alloy containing 1.5% silicon and 0.5% copper; and (iii) an antireflective layer of titanium.
  • substrates previously were etched in an reactive ion etching process using a BC1 3 , Cl 2 , and N 2 gas mixture.
  • the process conditions and the results of the corrosion tests for Examples 1-11 are described in Table I.
  • the passivating step was effected using water vapor flowed at a volumetric flow rate of 500 seem.
  • the stripping step was performed using a stripping gas comprised of oxygen, nitrogen, and optionally water vapor in the described flow rates. In all the processes, the vacuum chamber was maintained at a pressure of 2 Torr.
  • the multicycle passivating and stripping processes provided superior corrosion results compared to the single cycle processes.
  • Example 7 The multicycle passivating and stripping process used for Example 7 provided the best corrosion resistance.
  • each passivating and stripping step was 20 seconds in duration, and the passivating and stripping steps were repeated three times. Corrosion resistance of greater than 72 to 96 hours was obtained.
  • Example 9 In comparing Examples 7 and 9, it is observed that a final stripping step substantially increases the corrosion resistance of the substrate. In Example 9, where the final stripping step was not performed, a corrosion resistance of 3 to 7 hours was observed; whereas in Example 7, a corrosion resistance exceeding 72 hours was observed. It is believed that a final stripping step increases corrosion resistance because the oxygen in the stripping gas oxidizes the aluminum in the features, forming a thin protective layer of aluminum oxide on the metal features.
  • Example 11 demonstrates that the fastest total processing time can be achieved by increasing the number passivating and strip cycles.
  • the features on the substrates used in these examples comprised sequentially (i) a 3,500 A thick layer of aluminum alloy containing 0.5% copper, (ii) a 450 A layer of TiN, (iii) a 1,000 A layer of Ti, and (iv) a 365 A layer of TiN.
  • the wafers were previously etched in a reactive ion etching process that used an etchant gas comprising BC1 3 , Cl 2 and N 2 , and optionally CF 4 .
  • an initial stabilization step of about 10 seconds in duration was performed.
  • the substrate was transferred to the vacuum chamber.
  • the temperature of the chamber was ramped up from a temperature of about 60 to 100°C to a temperature of about 325 °C, and the pressure of the chamber ramped from a pressure of about 10 to 50 mTorr to a pressure of about 2 Torr.
  • Passivating gas comprising 3000 seem O 2 and 300 seem NH 3 was flowed in the chamber.
  • process gas conditions suitable for passivating and stripping the substrates were maintained as described below.
  • Examples 12-21 were processed using an L9 (four process variables with three levels for each variable), orthogonal factorial design experiment. Table II describes the four process variables and the three levels used for each variable. Table III describes the actual process conditions used to process each of the ten wafers. Example 21 was run at the same process conditions as Example 12 to verify the repeatability of the experiment. In these examples, the first passivating and stripping cycle was performed at a temperature of about 325 °C, and the temperature of the second passivating cycle was varied as shown in Table III.
  • the variable PS/PT represents the duration in time for a single passivating and strip cycle divided by the total multicycle process time.
  • the variable P/(P+S) represents the total time of a single passivating step divided by the total time for a passivating and strip cycle.
  • the wafers are inspected under 100X magnification in an optical microscope to examine the photoresist remaining on the wafer immediately after stripping, and to identify corrosion of the wafers after the wafers were exposed to the atmosphere for 6 and 24 hours. No photoresist was seen on any of the wafers, and no signs of corrosion were observed on any of the wafers after the 6 and 24 hour test intervals.
  • Examples 22-31 were also processed also using an L9 orthogonal factorial design experiment.
  • the factorial design variables and levels used are listed in Table IV.
  • Table V shows the process conditions used to process each of the Examples 22-31.
  • Example 31 was run at the same process conditions as Example 22 to verify repeatability of the experiment.
  • each of the wafers was examined at 100X magnification in an optical microscope. No photoresist was visible on any of the wafers, and none of the examples exhibited any corrosion after 6 and 24 hours, with the exception of Example 30, which exhibited severe corrosion.
  • Examples 32-40 two sets of wafers were processed using multicycle passivating and stripping processes.
  • the first set of wafers were processed to examine the corrosion resistance of the wafers immediately after the stripping and passivating processes.
  • the second set of wafers was processed to examine the corrosion resistance after the stripped and passivated wafers were wet chemical etched.
  • the first set of wafers used for Examples 32-36 were processed using a two cycle passivating and strip process.
  • the passivating and stripping steps in each cycle were run for about ten seconds, providing a total multiple processing time of 40 seconds.
  • the total processing time for the multiple process, including three second intervals between each passivating and strip step, was 49 seconds.
  • the passivating and stripping steps of the first cycle were both performed at a temperature of 325 °C, and the passivating and stripping steps of the second cycle were both performed at a temperature of 380°C.
  • the wafers were stored at room temperature in a 40% relative humidity atmosphere. An optical microscope was used to examine residual resist and corrosion on the wafers after set intervals of time.
  • the wafers were examined after one, two, three, four, and seven days; all five of the wafers being examined after the first day, four after the second day, three after the third day, and so on until only one wafer was examined on the seventh day. No corrosion was visible on any of the wafers after these time intervals.
  • the second set of wafers used for Examples 37-40 were also processed using a two-cycle passivating and stripping process.
  • the duration of each passivating and stripping step in Example 37 was 10 seconds; in Example 38 was 5 seconds; in Example 39 was 3 seconds; and in Example 40 was 2 seconds.
  • the passivating and stripping steps of the first cycle were both performed at a temperature of 325 °C, and the passivating and stripping steps of the second cycle were both performed at a temperature of 380° C.
  • the wafers were stored at room temperature in a 40% relatively humidity environment. After 24 hours, there was no corrosion visible on any of the wafers.
  • the wafers were wet chemically etched using an acidic solution containing HF. After etching, the wafers were again stored at room temperature in a 40% relative humidity chamber, and examined under an optical microscope at intervals of one, two, and three weeks. No corrosion was observed on any of the wafers even after three weeks.
  • Examples 37-40 demonstrated that there was no difference in observed corrosion results between the wafers processed using two second passivating and strip step durations, and the wafers processed using ten second passivating and strip step durations.
  • EXAMPLES 41-53 Examples 41-53 were processed using a single or multiple cycle passivating and strip process. In all of these examples, the passivating gas included water vapor, and optionally oxygen and nitrogen, as described below.
  • the features on the substrates of Examples 41-53 comprised (i) a 100 nm thick barrier layer of Ti, (ii) either a 1000 nm or a 1050 nm thick conductive layer of Al containing 0.5% Cu, and (iii) either a 36 nm or a 45 nm thick antireflective layer of TiN.
  • the wafers were etched in a reactive ion etching process that used a BC1 3 , Cl 2 , and N 2 gas mixture, and before etching, the photoresist had a thickness of about 1.8 to 2 microns.
  • Examples 41-45 a wafer "basket” or hoop was used in the vacuum chamber to hold the substrates. After a substrate was placed in the wafer basket, an initial chamber stabilization step was effected for about 15 seconds. In the stabilization step, process gas was flowed into the vacuum chamber at the flow rates shown in Table VI, and the temperature and pressure of the vacuum zone were maintained at the desired process levels. After stabilization, single cycle passivating and stripping processes, as described in Table VI, were performed. The passivating step of the process had a duration of 20 seconds, and the stripping step a had duration of 40 seconds.
  • GAS FLOW TEMP POWER GAS FLOW TEMP POWER (SCCM) ⁇ °C) (WATTS) (SCCM) ⁇ °C) (WATTS)
  • Example 46-52 the process conditions for the passivating and stripping steps were maintained constant, and the duration of the passivating and stripping steps were varied, as shown in Tables VII and VIII.
  • Example 46-50 the passivating step preceded the strip step
  • Example 51 and 52 the stripping step preceded the passivating step.
  • Example 49 used a two-cycle multicycle passivating and stripping process.
  • a pedestal (not shown) was used to hold the substrate in the vacuum chamber.
  • the pedestal allowed more control over the temperature of the substrate, because the larger mass of the pedestal, as compared to the wafer basket holder, stabilized the temperature of the substrate.
  • a process gas comprising 500 seem H 2 O, 1000 seem of O 2 , and 100 seem of N 2 was used, and the vacuum zone was maintained at a pressure of about 2 Torr.
  • the power level of the microwave generator 86 was maintained at about 1400 Watts.
  • a process gas comprising 3500 seem O 2 and 300 seem of N 2 was used, and the vacuum chamber was maintained at a pressure of about 2 Torr.
  • the microwave power level was maintained at about 1000 Watts.
  • Example 53 illustrates a preferred single cycle stripping and passivating process, the process conditions of which are disclosed in Table IX. This process is advantageous because it provides a reasonably high wafer throughput rate while maintaining effective passivating and stripping quality. The wafer passivated using this process demonstrated corrosion resistance over 24 hours when exposed to the atmosphere.
  • a single cycle water vapor passivating process can be used to prevent corrosion of a highly corrosive, partially etched Ti-W layer on a substrate.
  • a complete reactive ion etching, passivating and stripping process sequence is described.
  • the wafers used in these examples had features comprising (i) a barrier layer of Ti-W alloy, (ii) a conductive layer of an aluminum containing alloy, and (iii) a antireflective layer.
  • the features on the wafers were etched through until the lower Ti-W barrier layer was exposed.
  • the Ti-W layer was not etched through, because the underlying circuit devices can be damaged by the plasma etching process.
  • the partially etched Ti-W barrier layer rapidly corrodes when exposed to the atmosphere, because the galvanic coupling of the metals in the alloy promotes corrosion.
  • the partially etched barrier layer was passivated using a water vapor containing plasma.
  • the substrates of Examples 54 and 55 were etched in an etching chamber (not shown) using a two-stage etching process.
  • etchant gas comprising BC1 3 at a flow rate of about 50 seem, Cl 2 at a flow rate of 40 seem, and N 2 at a flow rate of 20 seem, was introduced into the etching chamber.
  • the pressure in the chamber was maintained at about 200 mTorr.
  • the RF power applied to the cathode in the chamber was maintained at about 400 Watts, and a 40 gauss magnetic field was generated using the inductive coils to enhance the plasma.
  • the first etching stage was effected until the aluminum containing layer on the substrates was etched through, the end point of the etching step measured by optical emission techniques.
  • the 1500 A thick Ti-W barrier layer on the substrate was etched until 500 A of the Ti-W layer was etched through, and 1000 A of the Ti-W layer remained on the substrates.
  • a process gas comprising 25 seem of BC1 3 , 20 seem of Cl 2 , and 20 seem of N 2 , was introduced into the chamber, and the chamber was maintained at a pressure of about 20 mTorr.
  • the RF power applied to the cathode was maintained at a level of 250 Watts, and a 40 gauss magnetic field used to enhance the plasma in the chamber.
  • the second etching stage was affected for about 40 seconds.
  • the wafer was transferred from the etching chamber (not shown) to the passivating and stripping chamber.
  • the wafer was passivated and stripped in separate steps.
  • water vapor was introduced into the chamber at a flow rate of 500 seem.
  • An 800 Watt RF power was applied to the microwave plasma generator and the wafer was heated to 250°C.
  • the passivating process was effected for a total time of 45 seconds.
  • the wafer was stripped in a separate stripping step.
  • the stripping step used a stripping gas comprising oxygen at a flow of 300 sccm and nitrogen at a flow of 200 to 300 seem.
  • a 1400 Watt RF power level was maintained at the microwave generator, and the temperature of the wafer maintained at 250°C. In both the passivating and stripping steps, the pressure in the chamber was maintained at 2 Torr. The passivated wafer of Example 54 was substantially corrosion resistant when exposed to the atmosphere.
  • the wafer of Example 55 was passivated and stripped in a single step process.
  • Process gas comprising oxygen at a flow of 3000 sccm, nitrogen at a flow of 200 sccm, and water vapor at a flow of 300 sccm was introduced into the chamber.
  • a plasma at a power level of 1400 Watts was generated for about 90 seconds to strip and passivate the wafer.
  • the passivated wafer of Example 55 was also observed to be resistant to co ⁇ osion under atmospheric conditions. After stripping and passivating, the remaining 1000 A thickness of barrier layer on the passivated wafer, was removed using a wet chemical etching process. In addition to this process, the wafer was chemically etched in "ACT" 900 series liquid etchants, commercially available from Advanced Chemical
  • the wafer was rinsed in deionized water to remove residual etchant.
  • the present invention has been described in considerable detail with reference to certain prefe ⁇ ed versions thereof, however, other versions are possible.
  • the multicycle process can be performed using passivating and stripping gas processes other than those disclosed herein.
  • the single cycle water vapor based passivating and stripping processes can be combined with other passivating and stripping processes, to provide greater corrosion resistance and process efficiency. Therefore the spirit and scope of the appended claims should not be limited to the description of the preferred versions contained herein.

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
EP95908706A 1994-02-03 1995-01-27 Verfahren zur photolack-entfernung, passivierung und korrosionsinhibierung von halbleitenden scheiben Withdrawn EP0692140A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US19182894A 1994-02-03 1994-02-03
US191828 1994-02-03
US268377 1994-06-29
US08/268,377 US5545289A (en) 1994-02-03 1994-06-29 Passivating, stripping and corrosion inhibition of semiconductor substrates
US08/369,237 US5631803A (en) 1995-01-06 1995-01-06 Erosion resistant electrostatic chuck with improved cooling system
PCT/US1995/001101 WO1995022171A2 (en) 1994-02-03 1995-01-27 Stripping, passivation and corrosion inhibition of semiconductor substrates

Publications (1)

Publication Number Publication Date
EP0692140A1 true EP0692140A1 (de) 1996-01-17

Family

ID=27392955

Family Applications (1)

Application Number Title Priority Date Filing Date
EP95908706A Withdrawn EP0692140A1 (de) 1994-02-03 1995-01-27 Verfahren zur photolack-entfernung, passivierung und korrosionsinhibierung von halbleitenden scheiben

Country Status (4)

Country Link
EP (1) EP0692140A1 (de)
JP (3) JP2839040B2 (de)
KR (1) KR100336916B1 (de)
WO (2) WO1995022171A2 (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3129144B2 (ja) * 1995-04-21 2001-01-29 日本電気株式会社 アッシング方法
KR19980064028A (ko) * 1996-12-12 1998-10-07 윌리엄비.켐플러 금속의 사후 에칭 탈플루오르 저온 공정
US6209551B1 (en) * 1997-06-11 2001-04-03 Lam Research Corporation Methods and compositions for post-etch layer stack treatment in semiconductor fabrication
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US7615037B2 (en) * 2005-12-14 2009-11-10 Stryker Corporation Removable inlet manifold for a medical/surgical waste collection system, the manifold including a driver for actuating a valve integral with the waste collection system
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP5601806B2 (ja) * 2009-08-25 2014-10-08 日新製鋼株式会社 塗膜密着性に優れたステンレス鋼板の製造方法
US10580661B2 (en) * 2016-12-14 2020-03-03 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
CN112041966A (zh) * 2018-03-30 2020-12-04 朗姆研究公司 使用碳氟化合物阻止层的形貌选择性和区域选择性ald

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0019915A1 (de) * 1979-05-28 1980-12-10 Hitachi, Ltd. Verfahren zur Korrosionsverhinderung von Aluminium und Aluminiumlegierungen
JPS5830133A (ja) * 1981-08-18 1983-02-22 Matsushita Electric Ind Co Ltd プラズマエツチング処理方法
US5000820A (en) * 1989-12-20 1991-03-19 Texas Instruments Incorporated Methods and apparatus for etching mercury cadmium telluride
JPH0574742A (ja) * 1991-02-22 1993-03-26 Miyagi Oki Denki Kk Al合金のドライエツチング後の腐蝕防止方法
EP0585936A2 (de) * 1992-09-03 1994-03-09 Texas Instruments Incorporated Verfahren zur Entfernung eines Polymers, mit Selektivität gegenüber Metall

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6370428A (ja) * 1986-09-11 1988-03-30 Anelva Corp プラズマ処理装置
JPH0777211B2 (ja) * 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
US4961820A (en) * 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
JP2890432B2 (ja) * 1989-01-10 1999-05-17 富士通株式会社 有機物の灰化方法
EP0416774B1 (de) * 1989-08-28 2000-11-15 Hitachi, Ltd. Verfahren zur Behandlung eines Aluminium enthaltenden Musters
DE69132811T2 (de) * 1990-06-27 2002-04-04 Fujitsu Ltd Verfahren zum herstellen eines integrierten halbleiterschaltkreises
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0019915A1 (de) * 1979-05-28 1980-12-10 Hitachi, Ltd. Verfahren zur Korrosionsverhinderung von Aluminium und Aluminiumlegierungen
JPS5830133A (ja) * 1981-08-18 1983-02-22 Matsushita Electric Ind Co Ltd プラズマエツチング処理方法
US5000820A (en) * 1989-12-20 1991-03-19 Texas Instruments Incorporated Methods and apparatus for etching mercury cadmium telluride
JPH0574742A (ja) * 1991-02-22 1993-03-26 Miyagi Oki Denki Kk Al合金のドライエツチング後の腐蝕防止方法
EP0585936A2 (de) * 1992-09-03 1994-03-09 Texas Instruments Incorporated Verfahren zur Entfernung eines Polymers, mit Selektivität gegenüber Metall

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 17, no. 398 (E - 1403) 26 July 1993 (1993-07-26) *
PATENT ABSTRACTS OF JAPAN vol. 7, no. 107 (E - 174) 11 May 1983 (1983-05-11) *
See also references of WO9522171A3 *

Also Published As

Publication number Publication date
KR100336916B1 (ko) 2002-12-02
JPH1174250A (ja) 1999-03-16
WO1995022171A2 (en) 1995-08-17
JP2006237599A (ja) 2006-09-07
JP2839040B2 (ja) 1998-12-16
JPH09500763A (ja) 1997-01-21
JP4167268B2 (ja) 2008-10-15
JP3795220B2 (ja) 2006-07-12
WO1995021458A1 (en) 1995-08-10
WO1995022171A3 (en) 1995-09-08

Similar Documents

Publication Publication Date Title
US5545289A (en) Passivating, stripping and corrosion inhibition of semiconductor substrates
JP4167268B2 (ja) 基板をパッシベーションするプロセス
TW540114B (en) Substrate cleaning apparatus and method
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6014979A (en) Localizing cleaning plasma for semiconductor processing
US6589890B2 (en) Precleaning process for metal plug that minimizes damage to low-κ dielectric
CN102232243B (zh) 前端制程等离子体介导的灰化方法及设备
CN100524640C (zh) 用于各种刻蚀和光刻集成方案的无定型碳的方法
US6899767B2 (en) Method of cleaning processing chamber of semiconductor processing apparatus
EP0665583A2 (de) Verfahren zum Ätzen von Titannitrid und isolierender Oxidschichten, mit Verwendung eines Kohlenstofffluorid und Kohlenstoffoxid enthaltenden Gases
EP1446460A1 (de) Kupferhaltiges wässriges reinigungsmittel sowie spezieller korrosionsinhibitor zur abreinigung von anorganischen resten von halbleitersubstrat
TW201140686A (en) Enhanced passivation process to protect silicon prior to high dose implant strip
CN100468652C (zh) 在半导体基底的金属结构表面去除残余物的方法
EP0692141A1 (de) Verfahren zur photolack-entfernung, passivierung und korrosionsinhibierung von halbleitenden scheiben
JPH07100865B2 (ja) 減圧cvd処理装置のクリーニング法
EP1297566A2 (de) Verfahren zur reinigung von einem substrat und einrichtung dafür
JPH08306668A (ja) アッシング方法
KR20180105580A (ko) 피가공물을 처리하는 방법
JP2001102345A (ja) 表面処理方法および装置
CN115274388A (zh) 一种无晶圆等离子腔室的清洗方法
KR20230049254A (ko) 기판 처리 방법
KR100324902B1 (ko) 반도체 표면의 철 오염 물질을 제거하는 방법
JPH06151389A (ja) ドライエッチングの後処理方法
JPH03127829A (ja) 半導体基板の表面清浄化方法
JPH04188724A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): BE CH DE ES FR GB IT LI NL

17P Request for examination filed

Effective date: 19960416

17Q First examination report despatched

Effective date: 19960530

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 19990525